summaryrefslogtreecommitdiff
path: root/FPGA/pwm/pwm.qsf
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-06-13 16:06:19 +0200
committerPacien TRAN-GIRARD2014-06-13 16:06:19 +0200
commit70318492f3472ff2ec3b1735cf69a4eef1f6a51d (patch)
tree0f4243099ea9379bc164dc37a9fee3ab255f0f7e /FPGA/pwm/pwm.qsf
parentd091bb2cb82f66d187df8f3aba6afcf4041b72ce (diff)
downloadfpga-home-automation-70318492f3472ff2ec3b1735cf69a4eef1f6a51d.tar.gz
Update project
Diffstat (limited to 'FPGA/pwm/pwm.qsf')
-rw-r--r--FPGA/pwm/pwm.qsf71
1 files changed, 71 insertions, 0 deletions
diff --git a/FPGA/pwm/pwm.qsf b/FPGA/pwm/pwm.qsf
new file mode 100644
index 0000000..5cd6136
--- /dev/null
+++ b/FPGA/pwm/pwm.qsf
@@ -0,0 +1,71 @@
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2013 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II 32-bit
20# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21# Date created = 18:34:57 May 05, 2014
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# pwm_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone II"
40set_global_assignment -name DEVICE EP2C35F672C6
41set_global_assignment -name TOP_LEVEL_ENTITY pwm
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:34:57 MAY 05, 2014"
44set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49set_global_assignment -name USE_CONFIGURATION_DEVICE ON
50set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
51set_global_assignment -name BDF_FILE pwm.bdf
52set_global_assignment -name QIP_FILE lpm_counter0.qip
53set_global_assignment -name QIP_FILE lpm_mux0.qip
54set_global_assignment -name QIP_FILE lpm_constant0.qip
55set_global_assignment -name QIP_FILE lpm_constant1.qip
56set_global_assignment -name QIP_FILE lpm_constant2.qip
57set_global_assignment -name QIP_FILE lpm_constant3.qip
58set_global_assignment -name QIP_FILE lpm_counter1.qip
59set_global_assignment -name QIP_FILE lpm_compare0.qip
60set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
61set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
62set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
63set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
64set_location_assignment PIN_N2 -to clk
65set_location_assignment PIN_G26 -to resetn
66set_location_assignment PIN_K26 -to fan
67set_location_assignment PIN_Y18 -to led_fan
68set_location_assignment PIN_P25 -to speed[0]
69set_location_assignment PIN_AE14 -to speed[1]
70set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
71set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file