summaryrefslogtreecommitdiff
path: root/FPGA/sound_gene/sound_gene.qsf
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-06-16 19:22:07 +0200
committerPacien TRAN-GIRARD2014-06-16 19:22:07 +0200
commitea5b86dbf48bb60f706f4d73f446900b5aa86536 (patch)
tree7864a3288dd3e274f22937684359b8db068b1a88 /FPGA/sound_gene/sound_gene.qsf
parente864d06fb1d4f4f9f7a2471a4b49a51aa2ed82d6 (diff)
downloadfpga-home-automation-ea5b86dbf48bb60f706f4d73f446900b5aa86536.tar.gz
Update project
Diffstat (limited to 'FPGA/sound_gene/sound_gene.qsf')
-rw-r--r--FPGA/sound_gene/sound_gene.qsf3
1 files changed, 2 insertions, 1 deletions
diff --git a/FPGA/sound_gene/sound_gene.qsf b/FPGA/sound_gene/sound_gene.qsf
index 1134a8d..704fda1 100644
--- a/FPGA/sound_gene/sound_gene.qsf
+++ b/FPGA/sound_gene/sound_gene.qsf
@@ -83,4 +83,5 @@ set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
83set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd 83set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
84set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd 84set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
85set_global_assignment -name BDF_FILE sound_gene.bdf 85set_global_assignment -name BDF_FILE sound_gene.bdf
86set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file 86set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
87set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" \ No newline at end of file