summaryrefslogtreecommitdiff
path: root/FPGA/top/top.qsf
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-04-11 22:57:17 +0200
committerPacien TRAN-GIRARD2014-04-11 22:57:17 +0200
commitd091bb2cb82f66d187df8f3aba6afcf4041b72ce (patch)
tree31a6df402f4b6737c2bdae043b6538ca8335b1d7 /FPGA/top/top.qsf
parent693a38c41f26c27e54a7e8b96c550eaf6008b56f (diff)
downloadfpga-home-automation-d091bb2cb82f66d187df8f3aba6afcf4041b72ce.tar.gz
Add top module
Diffstat (limited to 'FPGA/top/top.qsf')
-rw-r--r--FPGA/top/top.qsf161
1 files changed, 161 insertions, 0 deletions
diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf
new file mode 100644
index 0000000..923b663
--- /dev/null
+++ b/FPGA/top/top.qsf
@@ -0,0 +1,161 @@
1# -------------------------------------------------------------------------- #
2#
3# Copyright (C) 1991-2013 Altera Corporation
4# Your use of Altera Corporation's design tools, logic functions
5# and other software and tools, and its AMPP partner logic
6# functions, and any output files from any of the foregoing
7# (including device programming or simulation files), and any
8# associated documentation or information are expressly subject
9# to the terms and conditions of the Altera Program License
10# Subscription Agreement, Altera MegaCore Function License
11# Agreement, or other applicable license agreement, including,
12# without limitation, that your use is for the sole purpose of
13# programming logic devices manufactured by Altera and sold by
14# Altera or its authorized distributors. Please refer to the
15# applicable agreement for further details.
16#
17# -------------------------------------------------------------------------- #
18#
19# Quartus II 32-bit
20# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21# Date created = 22:38:55 April 11, 2014
22#
23# -------------------------------------------------------------------------- #
24#
25# Notes:
26#
27# 1) The default values for assignments are stored in the file:
28# top_assignment_defaults.qdf
29# If this file doesn't exist, see file:
30# assignment_defaults.qdf
31#
32# 2) Altera recommends that you do not modify this file. This
33# file is updated automatically by the Quartus II software
34# and any changes you make may be lost or overwritten.
35#
36# -------------------------------------------------------------------------- #
37
38
39set_global_assignment -name FAMILY "Cyclone II"
40set_global_assignment -name DEVICE EP2C35F672C6
41set_global_assignment -name TOP_LEVEL_ENTITY top
42set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
43set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:38:55 APRIL 11, 2014"
44set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49set_global_assignment -name USE_CONFIGURATION_DEVICE ON
50set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
51set_location_assignment PIN_AF10 -to hex0[0]
52set_location_assignment PIN_AB12 -to hex0[1]
53set_location_assignment PIN_AC12 -to hex0[2]
54set_location_assignment PIN_AD11 -to hex0[3]
55set_location_assignment PIN_AE11 -to hex0[4]
56set_location_assignment PIN_V14 -to hex0[5]
57set_location_assignment PIN_V13 -to hex0[6]
58set_location_assignment PIN_V20 -to hex1[0]
59set_location_assignment PIN_V21 -to hex1[1]
60set_location_assignment PIN_W21 -to hex1[2]
61set_location_assignment PIN_Y22 -to hex1[3]
62set_location_assignment PIN_AA24 -to hex1[4]
63set_location_assignment PIN_AA23 -to hex1[5]
64set_location_assignment PIN_AB24 -to hex1[6]
65set_location_assignment PIN_AB23 -to hex2[0]
66set_location_assignment PIN_V22 -to hex2[1]
67set_location_assignment PIN_AC25 -to hex2[2]
68set_location_assignment PIN_AC26 -to hex2[3]
69set_location_assignment PIN_AB26 -to hex2[4]
70set_location_assignment PIN_AB25 -to hex2[5]
71set_location_assignment PIN_Y24 -to hex2[6]
72set_location_assignment PIN_Y23 -to hex3[0]
73set_location_assignment PIN_AA25 -to hex3[1]
74set_location_assignment PIN_AA26 -to hex3[2]
75set_location_assignment PIN_Y26 -to hex3[3]
76set_location_assignment PIN_Y25 -to hex3[4]
77set_location_assignment PIN_U22 -to hex3[5]
78set_location_assignment PIN_W24 -to hex3[6]
79set_location_assignment PIN_U9 -to hex4[0]
80set_location_assignment PIN_U1 -to hex4[1]
81set_location_assignment PIN_U2 -to hex4[2]
82set_location_assignment PIN_T4 -to hex4[3]
83set_location_assignment PIN_R7 -to hex4[4]
84set_location_assignment PIN_R6 -to hex4[5]
85set_location_assignment PIN_T3 -to hex4[6]
86set_location_assignment PIN_T2 -to hex5[0]
87set_location_assignment PIN_P6 -to hex5[1]
88set_location_assignment PIN_P7 -to hex5[2]
89set_location_assignment PIN_T9 -to hex5[3]
90set_location_assignment PIN_R5 -to hex5[4]
91set_location_assignment PIN_R4 -to hex5[5]
92set_location_assignment PIN_R3 -to hex5[6]
93set_location_assignment PIN_R2 -to hex6[0]
94set_location_assignment PIN_P4 -to hex6[1]
95set_location_assignment PIN_P3 -to hex6[2]
96set_location_assignment PIN_M2 -to hex6[3]
97set_location_assignment PIN_M3 -to hex6[4]
98set_location_assignment PIN_M5 -to hex6[5]
99set_location_assignment PIN_M4 -to hex6[6]
100set_location_assignment PIN_L3 -to hex7[0]
101set_location_assignment PIN_L2 -to hex7[1]
102set_location_assignment PIN_L9 -to hex7[2]
103set_location_assignment PIN_L6 -to hex7[3]
104set_location_assignment PIN_L7 -to hex7[4]
105set_location_assignment PIN_P9 -to hex7[5]
106set_location_assignment PIN_N9 -to hex7[6]
107set_location_assignment PIN_K1 -to LCD_RS
108set_location_assignment PIN_K4 -to LCD_RW
109set_location_assignment PIN_K3 -to LCD_EN
110set_location_assignment PIN_K2 -to LCD_BLON
111set_location_assignment PIN_L4 -to LCD_ON
112set_location_assignment PIN_H3 -to LCD_DATA[7]
113set_location_assignment PIN_H4 -to LCD_DATA[6]
114set_location_assignment PIN_J3 -to LCD_DATA[5]
115set_location_assignment PIN_J4 -to LCD_DATA[4]
116set_location_assignment PIN_H2 -to LCD_DATA[3]
117set_location_assignment PIN_H1 -to LCD_DATA[2]
118set_location_assignment PIN_J2 -to LCD_DATA[1]
119set_location_assignment PIN_J1 -to LCD_DATA[0]
120set_location_assignment PIN_N2 -to clk
121set_location_assignment PIN_G26 -to resetn
122set_location_assignment PIN_AE14 -to speed_user[1]
123set_location_assignment PIN_P25 -to speed_user[0]
124set_location_assignment PIN_N26 -to fan_auto_user
125set_location_assignment PIN_N25 -to alarm_user
126set_location_assignment PIN_V2 -to hot
127set_location_assignment PIN_V1 -to sound_high_level
128set_location_assignment PIN_AC22 -to speed[1]
129set_location_assignment PIN_AB21 -to speed[0]
130set_location_assignment PIN_AF23 -to fan_auto
131set_location_assignment PIN_AE23 -to alarm
132set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
133set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
134set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
135set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
136set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
137set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
138set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
139set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
140set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
141set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
142set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
143set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
144set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
145set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
146set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd
147set_global_assignment -name SOURCE_FILE ../display/lpm_constant_f.cmp
148set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd
149set_global_assignment -name SOURCE_FILE ../display/lpm_constant_a.cmp
150set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd
151set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp
152set_global_assignment -name BDF_FILE ../commande/fan.bdf
153set_global_assignment -name BDF_FILE ../commande/alarm.bdf
154set_global_assignment -name BDF_FILE ../display/display.bdf
155set_global_assignment -name BDF_FILE ../commande/commande.bdf
156set_global_assignment -name BDF_FILE top.bdf
157set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl
158set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl
159set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl
160set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl
161set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file