summaryrefslogtreecommitdiff
path: root/FPGA/top/top.tcl
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-06-13 16:06:19 +0200
committerPacien TRAN-GIRARD2014-06-13 16:06:19 +0200
commit70318492f3472ff2ec3b1735cf69a4eef1f6a51d (patch)
tree0f4243099ea9379bc164dc37a9fee3ab255f0f7e /FPGA/top/top.tcl
parentd091bb2cb82f66d187df8f3aba6afcf4041b72ce (diff)
downloadfpga-home-automation-70318492f3472ff2ec3b1735cf69a4eef1f6a51d.tar.gz
Update project
Diffstat (limited to 'FPGA/top/top.tcl')
-rw-r--r--FPGA/top/top.tcl212
1 files changed, 212 insertions, 0 deletions
diff --git a/FPGA/top/top.tcl b/FPGA/top/top.tcl
new file mode 100644
index 0000000..4842b1c
--- /dev/null
+++ b/FPGA/top/top.tcl
@@ -0,0 +1,212 @@
1# Copyright (C) 1991-2013 Altera Corporation
2# Your use of Altera Corporation's design tools, logic functions
3# and other software and tools, and its AMPP partner logic
4# functions, and any output files from any of the foregoing
5# (including device programming or simulation files), and any
6# associated documentation or information are expressly subject
7# to the terms and conditions of the Altera Program License
8# Subscription Agreement, Altera MegaCore Function License
9# Agreement, or other applicable license agreement, including,
10# without limitation, that your use is for the sole purpose of
11# programming logic devices manufactured by Altera and sold by
12# Altera or its authorized distributors. Please refer to the
13# applicable agreement for further details.
14
15# Quartus II: Generate Tcl File for Project
16# File: top.tcl
17# Generated on: Fri Jun 13 15:54:40 2014
18
19# Load Quartus II Tcl Project package
20package require ::quartus::project
21
22set need_to_close_project 0
23set make_assignments 1
24
25# Check that the right project is open
26if {[is_project_open]} {
27 if {[string compare $quartus(project) "top"]} {
28 puts "Project top is not open"
29 set make_assignments 0
30 }
31} else {
32 # Only open if not already open
33 if {[project_exists top]} {
34 project_open -revision top top
35 } else {
36 project_new -revision top top
37 }
38 set need_to_close_project 1
39}
40
41# Make assignments
42if {$make_assignments} {
43 set_global_assignment -name FAMILY "Cyclone II"
44 set_global_assignment -name DEVICE EP2C35F672C6
45 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
46 set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:38:55 APRIL 11, 2014"
47 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
48 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
49 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
50 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
51 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
52 set_global_assignment -name USE_CONFIGURATION_DEVICE ON
53 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
54 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
56 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
57 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
58 set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf
59 set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd
60 set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd
61 set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant_hex000000.qip
62 set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant0.qip
63 set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip
64 set_global_assignment -name QIP_FILE ../display/lpm_counter0.qip
65 set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip
66 set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip
67 set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip
68 set_global_assignment -name QIP_FILE ../pwm/lpm_counter0.qip
69 set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip
70 set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip
71 set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip
72 set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip
73 set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip
74 set_global_assignment -name BDF_FILE ../display/useless.bdf
75 set_global_assignment -name BDF_FILE sound_gene.bdf
76 set_global_assignment -name BDF_FILE codec_clock.bdf
77 set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf
78 set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf
79 set_global_assignment -name BDF_FILE ../pwm/pwm.bdf
80 set_global_assignment -name BSF_FILE pwm.bsf
81 set_global_assignment -name BSF_FILE lpm_mux0.bsf
82 set_global_assignment -name BSF_FILE lpm_counter1.bsf
83 set_global_assignment -name BSF_FILE lpm_counter0.bsf
84 set_global_assignment -name BSF_FILE lpm_constant3.bsf
85 set_global_assignment -name BSF_FILE lpm_constant2.bsf
86 set_global_assignment -name BSF_FILE lpm_constant1.bsf
87 set_global_assignment -name BSF_FILE lpm_constant0.bsf
88 set_global_assignment -name BSF_FILE lpm_compare0.bsf
89 set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
90 set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
91 set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
92 set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd
93 set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd
94 set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd
95 set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd
96 set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
97 set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
98 set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
99 set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
100 set_global_assignment -name BDF_FILE ../commande/fan.bdf
101 set_global_assignment -name BDF_FILE ../commande/alarm.bdf
102 set_global_assignment -name BDF_FILE ../display/display.bdf
103 set_global_assignment -name BDF_FILE ../commande/commande.bdf
104 set_global_assignment -name BDF_FILE top.bdf
105 set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl
106 set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl
107 set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl
108 set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl
109 set_global_assignment -name QIP_FILE output_files/lpm_constant00.qip
110 set_global_assignment -name QIP_FILE output_files/lpm_constant0.qip
111 set_global_assignment -name QIP_FILE output_files/lpm_constant42.qip
112 set_location_assignment PIN_AF10 -to hex0[0]
113 set_location_assignment PIN_AB12 -to hex0[1]
114 set_location_assignment PIN_AC12 -to hex0[2]
115 set_location_assignment PIN_AD11 -to hex0[3]
116 set_location_assignment PIN_AE11 -to hex0[4]
117 set_location_assignment PIN_V14 -to hex0[5]
118 set_location_assignment PIN_V13 -to hex0[6]
119 set_location_assignment PIN_V20 -to hex1[0]
120 set_location_assignment PIN_V21 -to hex1[1]
121 set_location_assignment PIN_W21 -to hex1[2]
122 set_location_assignment PIN_Y22 -to hex1[3]
123 set_location_assignment PIN_AA24 -to hex1[4]
124 set_location_assignment PIN_AA23 -to hex1[5]
125 set_location_assignment PIN_AB24 -to hex1[6]
126 set_location_assignment PIN_AB23 -to hex2[0]
127 set_location_assignment PIN_V22 -to hex2[1]
128 set_location_assignment PIN_AC25 -to hex2[2]
129 set_location_assignment PIN_AC26 -to hex2[3]
130 set_location_assignment PIN_AB26 -to hex2[4]
131 set_location_assignment PIN_AB25 -to hex2[5]
132 set_location_assignment PIN_Y24 -to hex2[6]
133 set_location_assignment PIN_Y23 -to hex3[0]
134 set_location_assignment PIN_AA25 -to hex3[1]
135 set_location_assignment PIN_AA26 -to hex3[2]
136 set_location_assignment PIN_Y26 -to hex3[3]
137 set_location_assignment PIN_Y25 -to hex3[4]
138 set_location_assignment PIN_U22 -to hex3[5]
139 set_location_assignment PIN_W24 -to hex3[6]
140 set_location_assignment PIN_U9 -to hex4[0]
141 set_location_assignment PIN_U1 -to hex4[1]
142 set_location_assignment PIN_U2 -to hex4[2]
143 set_location_assignment PIN_T4 -to hex4[3]
144 set_location_assignment PIN_R7 -to hex4[4]
145 set_location_assignment PIN_R6 -to hex4[5]
146 set_location_assignment PIN_T3 -to hex4[6]
147 set_location_assignment PIN_T2 -to hex5[0]
148 set_location_assignment PIN_P6 -to hex5[1]
149 set_location_assignment PIN_P7 -to hex5[2]
150 set_location_assignment PIN_T9 -to hex5[3]
151 set_location_assignment PIN_R5 -to hex5[4]
152 set_location_assignment PIN_R4 -to hex5[5]
153 set_location_assignment PIN_R3 -to hex5[6]
154 set_location_assignment PIN_R2 -to hex6[0]
155 set_location_assignment PIN_P4 -to hex6[1]
156 set_location_assignment PIN_P3 -to hex6[2]
157 set_location_assignment PIN_M2 -to hex6[3]
158 set_location_assignment PIN_M3 -to hex6[4]
159 set_location_assignment PIN_M5 -to hex6[5]
160 set_location_assignment PIN_M4 -to hex6[6]
161 set_location_assignment PIN_L3 -to hex7[0]
162 set_location_assignment PIN_L2 -to hex7[1]
163 set_location_assignment PIN_L9 -to hex7[2]
164 set_location_assignment PIN_L6 -to hex7[3]
165 set_location_assignment PIN_L7 -to hex7[4]
166 set_location_assignment PIN_P9 -to hex7[5]
167 set_location_assignment PIN_N9 -to hex7[6]
168 set_location_assignment PIN_K1 -to LCD_RS
169 set_location_assignment PIN_K4 -to LCD_RW
170 set_location_assignment PIN_K3 -to LCD_EN
171 set_location_assignment PIN_K2 -to LCD_BLON
172 set_location_assignment PIN_L4 -to LCD_ON
173 set_location_assignment PIN_H3 -to LCD_DATA[7]
174 set_location_assignment PIN_H4 -to LCD_DATA[6]
175 set_location_assignment PIN_J3 -to LCD_DATA[5]
176 set_location_assignment PIN_J4 -to LCD_DATA[4]
177 set_location_assignment PIN_H2 -to LCD_DATA[3]
178 set_location_assignment PIN_H1 -to LCD_DATA[2]
179 set_location_assignment PIN_J2 -to LCD_DATA[1]
180 set_location_assignment PIN_J1 -to LCD_DATA[0]
181 set_location_assignment PIN_N2 -to clk
182 set_location_assignment PIN_G26 -to resetn
183 set_location_assignment PIN_AE14 -to speed_user[1]
184 set_location_assignment PIN_P25 -to speed_user[0]
185 set_location_assignment PIN_N26 -to fan_auto_user
186 set_location_assignment PIN_N25 -to alarm_user
187 set_location_assignment PIN_M23 -to hot
188 set_location_assignment PIN_M20 -to sound_high_level
189 set_location_assignment PIN_AC22 -to speed[1]
190 set_location_assignment PIN_AB21 -to speed[0]
191 set_location_assignment PIN_AF23 -to fan_auto
192 set_location_assignment PIN_AE23 -to alarm
193 set_location_assignment PIN_K26 -to fan
194 set_location_assignment PIN_Y18 -to led_fan
195 set_location_assignment PIN_B4 -to aud_bclk
196 set_location_assignment PIN_A4 -to aud_dacdat
197 set_location_assignment PIN_C6 -to aud_daclrck
198 set_location_assignment PIN_A5 -to aud_xck
199 set_location_assignment PIN_A6 -to i2c_sclk
200 set_location_assignment PIN_B6 -to i2c_sdat
201 set_location_assignment PIN_AE22 -to end_config
202 set_location_assignment PIN_M25 -to xti_mclk
203 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
204
205 # Commit assignments
206 export_assignments
207
208 # Close project
209 if {$need_to_close_project} {
210 project_close
211 }
212}