summaryrefslogtreecommitdiff
path: root/FPGA/vhdl/codec_config.vhd
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-06-13 16:06:19 +0200
committerPacien TRAN-GIRARD2014-06-13 16:06:19 +0200
commit70318492f3472ff2ec3b1735cf69a4eef1f6a51d (patch)
tree0f4243099ea9379bc164dc37a9fee3ab255f0f7e /FPGA/vhdl/codec_config.vhd
parentd091bb2cb82f66d187df8f3aba6afcf4041b72ce (diff)
downloadfpga-home-automation-70318492f3472ff2ec3b1735cf69a4eef1f6a51d.tar.gz
Update project
Diffstat (limited to 'FPGA/vhdl/codec_config.vhd')
-rw-r--r--FPGA/vhdl/codec_config.vhd21
1 files changed, 11 insertions, 10 deletions
diff --git a/FPGA/vhdl/codec_config.vhd b/FPGA/vhdl/codec_config.vhd
index 2ae71f8..69c661c 100644
--- a/FPGA/vhdl/codec_config.vhd
+++ b/FPGA/vhdl/codec_config.vhd
@@ -19,16 +19,17 @@ end entity;
19architecture rtl of codec_config is 19architecture rtl of codec_config is
20 type t_config is array(natural range 0 to 10) of std_logic_vector(23 downto 0); 20 type t_config is array(natural range 0 to 10) of std_logic_vector(23 downto 0);
21 constant config_data : t_config := 21 constant config_data : t_config :=
22 (X"34001A", 22 (
23 X"34021A", 23 X"34001A", -- X"34001A",
24 X"34047B", 24 X"34021A", -- X"34021A",
25 X"34067B", 25 X"34047F", -- X"34047B", -- headphone left => doit etre on pour mono
26 X"3408F8", 26 X"340600", -- X"34067B", -- headphone right
27 X"340A06", 27 X"3408F8", -- X"3408F8",
28 X"340C00", 28 X"340A07", -- X"340A06",
29 X"340E01", 29 X"340C00", -- X"340C00", -- power on
30 X"341002", 30 X"340E01", -- X"340E01",
31 X"341201", 31 X"341002", -- X"341002", -- sample control
32 X"341201", -- X"341201", -- set active
32 X"000000" 33 X"000000"
33 ); 34 );
34 type state is (init, config, finished); 35 type state is (init, config, finished);