summaryrefslogtreecommitdiff
path: root/FPGA/vhdl/message.vhd
diff options
context:
space:
mode:
authorPacien TRAN-GIRARD2014-06-16 00:04:05 +0200
committerPacien TRAN-GIRARD2014-06-16 00:04:05 +0200
commite170ee1dd323f3f99e154bd5daf026fc8f423465 (patch)
tree1f99741a98cabf57221d122e90b60e1851edb00a /FPGA/vhdl/message.vhd
parentdcc1a759eab259f4e0b946182637566cd584c694 (diff)
downloadfpga-home-automation-e170ee1dd323f3f99e154bd5daf026fc8f423465.tar.gz
Refactoring
Diffstat (limited to 'FPGA/vhdl/message.vhd')
-rw-r--r--FPGA/vhdl/message.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/FPGA/vhdl/message.vhd b/FPGA/vhdl/message.vhd
index b8bccf1..9646bfe 100644
--- a/FPGA/vhdl/message.vhd
+++ b/FPGA/vhdl/message.vhd
@@ -58,7 +58,7 @@ architecture RTL of message is
58 23 => X"21", -- ! 58 23 => X"21", -- !
59 24 => X"20", -- 59 24 => X"20", --
60 25 => X"20", -- 60 25 => X"20", --
61 26 => X"60", -- _ 61 26 => X"60", -- \
62 27 => X"5F", -- _ 62 27 => X"5F", -- _
63 28 => X"5F", -- _ 63 28 => X"5F", -- _
64 29 => X"2F", -- / 64 29 => X"2F", -- /