summaryrefslogtreecommitdiff
path: root/FPGA/display
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/display')
-rw-r--r--FPGA/display/clock_divider.bsf61
-rw-r--r--FPGA/display/display.bdf369
-rw-r--r--FPGA/display/display.bsf83
-rw-r--r--FPGA/display/display.qsf7
-rw-r--r--FPGA/display/display.qwsbin1438 -> 1438 bytes
-rw-r--r--FPGA/display/greybox_tmp/cbx_args.txt7
-rw-r--r--FPGA/display/lpm_constant7nada.bsf49
-rw-r--r--FPGA/display/lpm_constant7nada.cmp21
-rw-r--r--FPGA/display/lpm_constant7nada.qip5
-rw-r--r--FPGA/display/lpm_constant7nada.vhd109
-rw-r--r--FPGA/display/lpm_constant_a.bsf10
-rw-r--r--FPGA/display/lpm_constant_a.qip5
-rw-r--r--FPGA/display/lpm_constant_a.vhd2
-rw-r--r--FPGA/display/lpm_constant_f.qip0
-rw-r--r--FPGA/display/lpm_counter0.qip0
-rw-r--r--FPGA/display/lpm_shiftreg0.bsf86
-rw-r--r--FPGA/display/lpm_shiftreg0.cmp26
-rw-r--r--FPGA/display/lpm_shiftreg0.qip5
-rw-r--r--FPGA/display/lpm_shiftreg0.vhd146
-rw-r--r--FPGA/display/useless.bdf968
-rw-r--r--FPGA/display/useless.bsf71
21 files changed, 1943 insertions, 87 deletions
diff --git a/FPGA/display/clock_divider.bsf b/FPGA/display/clock_divider.bsf
new file mode 100644
index 0000000..de8cb37
--- /dev/null
+++ b/FPGA/display/clock_divider.bsf
@@ -0,0 +1,61 @@
1/*
2WARNING: Do NOT edit the input and output ports in this file in a text
3editor if you plan to continue editing the block that represents it in
4the Block Editor! File corruption is VERY likely to occur.
5*/
6/*
7Copyright (C) 1991-2013 Altera Corporation
8Your use of Altera Corporation's design tools, logic functions
9and other software and tools, and its AMPP partner logic
10functions, and any output files from any of the foregoing
11(including device programming or simulation files), and any
12associated documentation or information are expressly subject
13to the terms and conditions of the Altera Program License
14Subscription Agreement, Altera MegaCore Function License
15Agreement, or other applicable license agreement, including,
16without limitation, that your use is for the sole purpose of
17programming logic devices manufactured by Altera and sold by
18Altera or its authorized distributors. Please refer to the
19applicable agreement for further details.
20*/
21(header "symbol" (version "1.1"))
22(symbol
23 (rect 16 16 176 96)
24 (text "clock_divider" (rect 5 0 56 12)(font "Arial" ))
25 (text "inst" (rect 8 64 20 76)(font "Arial" ))
26 (port
27 (pt 0 32)
28 (input)
29 (text "clk" (rect 0 0 10 12)(font "Arial" ))
30 (text "clk" (rect 21 27 31 39)(font "Arial" ))
31 (line (pt 0 32)(pt 16 32)(line_width 1))
32 )
33 (port
34 (pt 0 48)
35 (input)
36 (text "resetn" (rect 0 0 24 12)(font "Arial" ))
37 (text "resetn" (rect 21 43 45 55)(font "Arial" ))
38 (line (pt 0 48)(pt 16 48)(line_width 1))
39 )
40 (port
41 (pt 160 32)
42 (output)
43 (text "en_user" (rect 0 0 33 12)(font "Arial" ))
44 (text "en_user" (rect 106 27 139 39)(font "Arial" ))
45 (line (pt 160 32)(pt 144 32)(line_width 1))
46 )
47 (parameter
48 "board_frequency"
49 "50000000.0"
50 ""
51 (type "PARAMETER_SIGNED_FLOAT") )
52 (parameter
53 "user_frequency"
54 "4.0"
55 ""
56 (type "PARAMETER_SIGNED_FLOAT") )
57 (drawing
58 (rectangle (rect 16 16 144 64)(line_width 1))
59 )
60 (annotation_block (parameter)(rect 176 -64 276 16))
61)
diff --git a/FPGA/display/display.bdf b/FPGA/display/display.bdf
index c40e75b..fb2a670 100644
--- a/FPGA/display/display.bdf
+++ b/FPGA/display/display.bdf
@@ -22,8 +22,8 @@ applicable agreement for further details.
22(pin 22(pin
23 (input) 23 (input)
24 (rect 96 48 272 64) 24 (rect 96 48 272 64)
25 (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) 25 (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
26 (text "fan_auto" (rect 9 0 52 11)(font "Arial" )) 26 (text "fan_auto" (rect 9 0 53 11)(font "Arial" ))
27 (pt 176 8) 27 (pt 176 8)
28 (drawing 28 (drawing
29 (line (pt 92 12)(pt 117 12)) 29 (line (pt 92 12)(pt 117 12))
@@ -33,13 +33,14 @@ applicable agreement for further details.
33 (line (pt 117 4)(pt 121 8)) 33 (line (pt 117 4)(pt 121 8))
34 (line (pt 117 12)(pt 121 8)) 34 (line (pt 117 12)(pt 121 8))
35 ) 35 )
36 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 36 (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
37 (annotation_block (location)(rect 32 64 96 80))
37) 38)
38(pin 39(pin
39 (input) 40 (input)
40 (rect 96 64 272 80) 41 (rect 96 64 272 80)
41 (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) 42 (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
42 (text "alarm_user" (rect 9 0 65 11)(font "Arial" )) 43 (text "alarm_user" (rect 9 0 66 11)(font "Arial" ))
43 (pt 176 8) 44 (pt 176 8)
44 (drawing 45 (drawing
45 (line (pt 92 12)(pt 117 12)) 46 (line (pt 92 12)(pt 117 12))
@@ -49,12 +50,13 @@ applicable agreement for further details.
49 (line (pt 117 4)(pt 121 8)) 50 (line (pt 117 4)(pt 121 8))
50 (line (pt 117 12)(pt 121 8)) 51 (line (pt 117 12)(pt 121 8))
51 ) 52 )
52 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 53 (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
54 (annotation_block (location)(rect 32 80 96 96))
53) 55)
54(pin 56(pin
55 (input) 57 (input)
56 (rect 96 80 272 96) 58 (rect 96 80 272 96)
57 (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) 59 (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
58 (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) 60 (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" ))
59 (pt 176 8) 61 (pt 176 8)
60 (drawing 62 (drawing
@@ -65,12 +67,45 @@ applicable agreement for further details.
65 (line (pt 117 4)(pt 121 8)) 67 (line (pt 117 4)(pt 121 8))
66 (line (pt 117 12)(pt 121 8)) 68 (line (pt 117 12)(pt 121 8))
67 ) 69 )
68 (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) 70 (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
71 (annotation_block (location)(rect 24 96 96 112))
72)
73(pin
74 (input)
75 (rect 104 8 280 24)
76 (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
77 (text "clk" (rect 9 0 24 11)(font "Arial" ))
78 (pt 176 8)
79 (drawing
80 (line (pt 92 12)(pt 117 12))
81 (line (pt 92 4)(pt 117 4))
82 (line (pt 121 8)(pt 176 8))
83 (line (pt 92 12)(pt 92 4))
84 (line (pt 117 4)(pt 121 8))
85 (line (pt 117 12)(pt 121 8))
86 )
87 (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
88)
89(pin
90 (input)
91 (rect 104 24 280 40)
92 (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
93 (text "resetn" (rect 9 0 40 11)(font "Arial" ))
94 (pt 176 8)
95 (drawing
96 (line (pt 92 12)(pt 117 12))
97 (line (pt 92 4)(pt 117 4))
98 (line (pt 121 8)(pt 176 8))
99 (line (pt 92 12)(pt 92 4))
100 (line (pt 117 4)(pt 121 8))
101 (line (pt 117 12)(pt 121 8))
102 )
103 (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
69) 104)
70(pin 105(pin
71 (output) 106 (output)
72 (rect 760 48 936 64) 107 (rect 760 48 936 64)
73 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) 108 (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
74 (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" )) 109 (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" ))
75 (pt 0 8) 110 (pt 0 8)
76 (drawing 111 (drawing
@@ -82,11 +117,12 @@ applicable agreement for further details.
82 (line (pt 82 8)(pt 78 12)) 117 (line (pt 82 8)(pt 78 12))
83 (line (pt 78 12)(pt 82 8)) 118 (line (pt 78 12)(pt 82 8))
84 ) 119 )
120 (annotation_block (location)(rect 936 64 992 80))
85) 121)
86(pin 122(pin
87 (output) 123 (output)
88 (rect 760 64 936 80) 124 (rect 760 64 936 80)
89 (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) 125 (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
90 (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" )) 126 (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" ))
91 (pt 0 8) 127 (pt 0 8)
92 (drawing 128 (drawing
@@ -98,11 +134,12 @@ applicable agreement for further details.
98 (line (pt 82 8)(pt 78 12)) 134 (line (pt 82 8)(pt 78 12))
99 (line (pt 78 12)(pt 82 8)) 135 (line (pt 78 12)(pt 82 8))
100 ) 136 )
137 (annotation_block (location)(rect 936 80 992 96))
101) 138)
102(pin 139</