summaryrefslogtreecommitdiff
path: root/FPGA/pwm/greybox_tmp
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/pwm/greybox_tmp')
-rw-r--r--FPGA/pwm/greybox_tmp/cbx_args.txt7
-rw-r--r--FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v52
-rw-r--r--FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v51
3 files changed, 110 insertions, 0 deletions
diff --git a/FPGA/pwm/greybox_tmp/cbx_args.txt b/FPGA/pwm/greybox_tmp/cbx_args.txt
new file mode 100644
index 0000000..662f251
--- /dev/null
+++ b/FPGA/pwm/greybox_tmp/cbx_args.txt
@@ -0,0 +1,7 @@
1LPM_REPRESENTATION=UNSIGNED
2LPM_TYPE=LPM_COMPARE
3LPM_WIDTH=23
4DEVICE_FAMILY="Cyclone II"
5dataa
6datab
7alb
diff --git a/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v b/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
new file mode 100644
index 0000000..90c1893
--- /dev/null
+++ b/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
@@ -0,0 +1,52 @@
1//lpm_mux CBX_SINGLE_OUTPUT_FILE="ON" LPM_SIZE=4 LPM_TYPE="LPM_MUX" LPM_WIDTH=1 LPM_WIDTHS=2 data result sel
2//VERSION_BEGIN 13.0 cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratixii 2013:06:12:18:04:00:SJ cbx_util_mgl 2013:06:12:18:04:00:SJ VERSION_END
3// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4// altera message_off 10463
5
6
7
8// Copyright (C) 1991-2013 Altera Corporation
9// Your use of Altera Corporation's design tools, logic functions
10// and other software and tools, and its AMPP partner logic
11// functions, and any output files from any of the foregoing
12// (including device programming or simulation files), and any
13// associated documentation or information are expressly subject
14// to the terms and conditions of the Altera Program License
15// Subscription Agreement, Altera MegaCore Function License
16// Agreement, or other applicable license agreement, including,
17// without limitation, that your use is for the sole purpose of
18// programming logic devices manufactured by Altera and sold by
19// Altera or its authorized distributors. Please refer to the
20// applicable agreement for further details.
21
22
23
24//synthesis_resources = lpm_mux 1
25//synopsys translate_off
26`timescale 1 ps / 1 ps
27//synopsys translate_on
28module mgbt9
29 (
30 data,
31 result,
32 sel) /* synthesis synthesis_clearbox=1 */;
33 input [3:0] data;
34 output [0:0] result;
35 input [1:0] sel;
36
37 wire [0:0] wire_mgl_prim1_result;
38
39 lpm_mux mgl_prim1
40 (
41 .data(data),
42 .result(wire_mgl_prim1_result),
43 .sel(sel));
44 defparam
45 mgl_prim1.lpm_size = 4,
46 mgl_prim1.lpm_type = "LPM_MUX",
47 mgl_prim1.lpm_width = 1,
48 mgl_prim1.lpm_widths = 2;
49 assign
50 result = wire_mgl_prim1_result;
51endmodule //mgbt9
52//VALID FILE
diff --git a/FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v b/FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v
new file mode 100644
index 0000000..8fab5c3
--- /dev/null
+++ b/FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v
@@ -0,0 +1,51 @@
1//lpm_compare CBX_SINGLE_OUTPUT_FILE="ON" LPM_REPRESENTATION="UNSIGNED" LPM_TYPE="LPM_COMPARE" LPM_WIDTH=23 alb dataa datab
2//VERSION_BEGIN 13.0 cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratixii 2013:06:12:18:04:00:SJ cbx_util_mgl 2013:06:12:18:04:00:SJ VERSION_END
3// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4// altera message_off 10463
5
6
7
8// Copyright (C) 1991-2013 Altera Corporation
9// Your use of Altera Corporation's design tools, logic functions
10// and other software and tools, and its AMPP partner logic
11// functions, and any output files from any of the foregoing
12// (including device programming or simulation files), and any
13// associated documentation or information are expressly subject
14// to the terms and conditions of the Altera Program License
15// Subscription Agreement, Altera MegaCore Function License
16// Agreement, or other applicable license agreement, including,
17// without limitation, that your use is for the sole purpose of
18// programming logic devices manufactured by Altera and sold by
19// Altera or its authorized distributors. Please refer to the
20// applicable agreement for further details.
21
22
23
24//synthesis_resources = lpm_compare 1
25//synopsys translate_off
26`timescale 1 ps / 1 ps
27//synopsys translate_on
28module mgtbb
29 (
30 alb,
31 dataa,
32 datab) /* synthesis synthesis_clearbox=1 */;
33 output alb;
34 input [22:0] dataa;
35 input [22:0] datab;
36
37 wire wire_mgl_prim1_alb;
38
39 lpm_compare mgl_prim1
40 (
41 .alb(wire_mgl_prim1_alb),
42 .dataa(dataa),
43 .datab(datab));
44 defparam
45 mgl_prim1.lpm_representation = "UNSIGNED",
46 mgl_prim1.lpm_type = "LPM_COMPARE",
47 mgl_prim1.lpm_width = 23;
48 assign
49 alb = wire_mgl_prim1_alb;
50endmodule //mgtbb
51//VALID FILE