summaryrefslogtreecommitdiff
path: root/FPGA/pwm
diff options
context:
space:
mode:
Diffstat (limited to 'FPGA/pwm')
-rw-r--r--FPGA/pwm/greybox_tmp/cbx_args.txt7
-rw-r--r--FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v52
-rw-r--r--FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v51
-rw-r--r--FPGA/pwm/lpm_compare0.bsf62
-rw-r--r--FPGA/pwm/lpm_compare0.cmp23
-rw-r--r--FPGA/pwm/lpm_compare0.qip5
-rw-r--r--FPGA/pwm/lpm_compare0.vhd126
-rw-r--r--FPGA/pwm/lpm_constant0.bsf49
-rw-r--r--FPGA/pwm/lpm_constant0.cmp21
-rw-r--r--FPGA/pwm/lpm_constant0.qip5
-rw-r--r--FPGA/pwm/lpm_constant0.vhd109
-rw-r--r--FPGA/pwm/lpm_constant1.bsf49
-rw-r--r--FPGA/pwm/lpm_constant1.cmp21
-rw-r--r--FPGA/pwm/lpm_constant1.qip5
-rw-r--r--FPGA/pwm/lpm_constant1.vhd109
-rw-r--r--FPGA/pwm/lpm_constant2.bsf49
-rw-r--r--FPGA/pwm/lpm_constant2.cmp21
-rw-r--r--FPGA/pwm/lpm_constant2.qip5
-rw-r--r--FPGA/pwm/lpm_constant2.vhd109
-rw-r--r--FPGA/pwm/lpm_constant3.bsf49
-rw-r--r--FPGA/pwm/lpm_constant3.cmp21
-rw-r--r--FPGA/pwm/lpm_constant3.qip5
-rw-r--r--FPGA/pwm/lpm_constant3.vhd109
-rw-r--r--FPGA/pwm/lpm_counter0.bsf64
-rw-r--r--FPGA/pwm/lpm_counter0.cmp23
-rw-r--r--FPGA/pwm/lpm_counter0.qip5
-rw-r--r--FPGA/pwm/lpm_counter0.vhd130
-rw-r--r--FPGA/pwm/lpm_counter1.bsf65
-rw-r--r--FPGA/pwm/lpm_counter1.cmp23
-rw-r--r--FPGA/pwm/lpm_counter1.qip5
-rw-r--r--FPGA/pwm/lpm_counter1.vhd133
-rw-r--r--FPGA/pwm/lpm_mux0.bsf82
-rw-r--r--FPGA/pwm/lpm_mux0.cmp26
-rw-r--r--FPGA/pwm/lpm_mux0.qip5
-rw-r--r--FPGA/pwm/lpm_mux0.vhd210
-rw-r--r--FPGA/pwm/pwm.bdf502
-rw-r--r--FPGA/pwm/pwm.bsf64
-rw-r--r--FPGA/pwm/pwm.qpf30
-rw-r--r--FPGA/pwm/pwm.qsf71
-rw-r--r--FPGA/pwm/pwm.qwsbin0 -> 1406 bytes
-rw-r--r--FPGA/pwm/pwm.tcl6
41 files changed, 2506 insertions, 0 deletions
diff --git a/FPGA/pwm/greybox_tmp/cbx_args.txt b/FPGA/pwm/greybox_tmp/cbx_args.txt
new file mode 100644
index 0000000..662f251
--- /dev/null
+++ b/FPGA/pwm/greybox_tmp/cbx_args.txt
@@ -0,0 +1,7 @@
1LPM_REPRESENTATION=UNSIGNED
2LPM_TYPE=LPM_COMPARE
3LPM_WIDTH=23
4DEVICE_FAMILY="Cyclone II"
5dataa
6datab
7alb
diff --git a/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v b/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
new file mode 100644
index 0000000..90c1893
--- /dev/null
+++ b/FPGA/pwm/greybox_tmp/greybox_tmp/mgbt9.v
@@ -0,0 +1,52 @@
1//lpm_mux CBX_SINGLE_OUTPUT_FILE="ON" LPM_SIZE=4 LPM_TYPE="LPM_MUX" LPM_WIDTH=1 LPM_WIDTHS=2 data result sel
2//VERSION_BEGIN 13.0 cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratixii 2013:06:12:18:04:00:SJ cbx_util_mgl 2013:06:12:18:04:00:SJ VERSION_END
3// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4// altera message_off 10463
5
6
7
8// Copyright (C) 1991-2013 Altera Corporation
9// Your use of Altera Corporation's design tools, logic functions
10// and other software and tools, and its AMPP partner logic
11// functions, and any output files from any of the foregoing
12// (including device programming or simulation files), and any
13// associated documentation or information are expressly subject
14// to the terms and conditions of the Altera Program License
15// Subscription Agreement, Altera MegaCore Function License
16// Agreement, or other applicable license agreement, including,
17// without limitation, that your use is for the sole purpose of
18// programming logic devices manufactured by Altera and sold by
19// Altera or its authorized distributors. Please refer to the
20// applicable agreement for further details.
21
22
23
24//synthesis_resources = lpm_mux 1
25//synopsys translate_off
26`timescale 1 ps / 1 ps
27//synopsys translate_on
28module mgbt9
29 (
30 data,
31 result,
32 sel) /* synthesis synthesis_clearbox=1 */;
33 input [3:0] data;
34 output [0:0] result;
35 input [1:0] sel;
36
37 wire [0:0] wire_mgl_prim1_result;
38
39 lpm_mux mgl_prim1
40 (
41 .data(data),
42 .result(wire_mgl_prim1_result),
43 .sel(sel));
44 defparam
45 mgl_prim1.lpm_size = 4,
46 mgl_prim1.lpm_type = "LPM_MUX",
47 mgl_prim1.lpm_width = 1,
48 mgl_prim1.lpm_widths = 2;
49 assign
50 result = wire_mgl_prim1_result;
51endmodule //mgbt9
52//VALID FILE
diff --git a/FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v b/FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v
new file mode 100644
index 0000000..8fab5c3
--- /dev/null
+++ b/FPGA/pwm/greybox_tmp/greybox_tmp/mgtbb.v
@@ -0,0 +1,51 @@
1//lpm_compare CBX_SINGLE_OUTPUT_FILE="ON" LPM_REPRESENTATION="UNSIGNED" LPM_TYPE="LPM_COMPARE" LPM_WIDTH=23 alb dataa datab
2//VERSION_BEGIN 13.0 cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratixii 2013:06:12:18:04:00:SJ cbx_util_mgl 2013:06:12:18:04:00:SJ VERSION_END
3// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4// altera message_off 10463
5
6
7
8// Copyright (C) 1991-2013 Altera Corporation
9// Your use of Altera Corporation's design tools, logic functions
10// and other software and tools, and its AMPP partner logic
11// functions, and any output files from any of the foregoing
12// (including device programming or simulation files), and any
13// associated documentation or information are expressly subject
14// to the terms and conditions of the Altera Program License
15// Subscription Agreement, Altera MegaCore Function License
16// Agreement, or other applicable license agreement, including,
17// without limitation, that your use is for the sole purpose of
18// programming logic devices manufactured by Altera and sold by
19// Altera or its authorized distributors. Please refer to the
20// applicable agreement for further details.
21
22
23
24//synthesis_resources = lpm_compare 1
25//synopsys translate_off
26`timescale 1 ps / 1 ps
27//synopsys translate_on
28module mgtbb
29 (
30 alb,
31 dataa,
32 datab) /* synthesis synthesis_clearbox=1 */;
33 output alb;
34 input [22:0] dataa;
35 input [22:0] datab;
36
37 wire wire_mgl_prim1_alb;
38
39 lpm_compare mgl_prim1
40 (
41 .alb(wire_mgl_prim1_alb),
42 .dataa(dataa),
43 .datab(datab));
44 defparam
45 mgl_prim1.lpm_representation = "UNSIGNED",
46 mgl_prim1.lpm_type = "LPM_COMPARE",
47 mgl_prim1.lpm_width = 23;
48 assign
49 alb = wire_mgl_prim1_alb;
50endmodule //mgtbb
51//VALID FILE
diff --git a/FPGA/pwm/lpm_compare0.bsf b/FPGA/pwm/lpm_compare0.bsf
new file mode 100644
index 0000000..d31d901
--- /dev/null
+++ b/FPGA/pwm/lpm_compare0.bsf
@@ -0,0 +1,62 @@
1/*
2WARNING: Do NOT edit the input and output ports in this file in a text
3editor if you plan to continue editing the block that represents it in
4the Block Editor! File corruption is VERY likely to occur.
5*/
6/*
7Copyright (C) 1991-2013 Altera Corporation
8Your use of Altera Corporation's design tools, logic functions
9and other software and tools, and its AMPP partner logic
10functions, and any output files from any of the foregoing
11(including device programming or simulation files), and any
12associated documentation or information are expressly subject
13to the terms and conditions of the Altera Program License
14Subscription Agreement, Altera MegaCore Function License
15Agreement, or other applicable license agreement, including,
16without limitation, that your use is for the sole purpose of
17programming logic devices manufactured by Altera and sold by
18Altera or its authorized distributors. Please refer to the
19applicable agreement for further details.
20*/
21(header "symbol" (version "1.2"))
22(symbol
23 (rect 0 0 128 96)
24 (text "lpm_compare0" (rect 20 0 126 16)(font "Arial" (font_size 10)))
25 (text "inst" (rect 8 81 26 92)(font "Arial" ))
26 (port
27 (pt 0 48)
28 (input)
29 (text "dataa[22..0]" (rect 0 0 68 13)(font "Arial" (font_size 8)))
30 (text "dataa[22..0]" (rect 20 42 78 54)(font "Arial" (font_size 8)))
31 (line (pt 0 48)(pt 16 48)(line_width 3))
32 )
33 (port
34 (pt 0 64)
35 (input)
36 (text "datab[22..0]" (rect 0 0 68 13)(font "Arial" (font_size 8)))
37 (text "datab[22..0]" (rect 20 58 78 70)(font "Arial" (font_size 8)))
38 (line (pt 0 64)(pt 16 64)(line_width 3))
39 )
40 (port
41 (pt 128 56)
42 (output)
43 (text "aleb" (rect 0 0 25 13)(font "Arial" (font_size 8)))
44 (text "aleb" (rect 89 50 110 62)(font "Arial" (font_size 8)))
45