From 2a1b2c866bb630038100a150ee5da976f551e072 Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Fri, 11 Apr 2014 21:07:18 +0200 Subject: Add "commande" module --- FPGA/commande/alarm.bdf | 281 +++++++++++++++++++++++++ FPGA/commande/alarm.bsf | 64 ++++++ FPGA/commande/commande.bdf | 366 ++++++++++++++++++++++++++++++++ FPGA/commande/commande.bsf | 99 +++++++++ FPGA/commande/commande.qpf | 30 +++ FPGA/commande/commande.qsf | 71 +++++++ FPGA/commande/commande_pin.tcl | 17 ++ FPGA/commande/commande_pin.tcl.bak | 17 ++ FPGA/commande/fan.bdf | 412 +++++++++++++++++++++++++++++++++++++ FPGA/commande/fan.bsf | 71 +++++++ 10 files changed, 1428 insertions(+) create mode 100644 FPGA/commande/alarm.bdf create mode 100644 FPGA/commande/alarm.bsf create mode 100644 FPGA/commande/commande.bdf create mode 100644 FPGA/commande/commande.bsf create mode 100644 FPGA/commande/commande.qpf create mode 100644 FPGA/commande/commande.qsf create mode 100644 FPGA/commande/commande_pin.tcl create mode 100644 FPGA/commande/commande_pin.tcl.bak create mode 100644 FPGA/commande/fan.bdf create mode 100644 FPGA/commande/fan.bsf diff --git a/FPGA/commande/alarm.bdf b/FPGA/commande/alarm.bdf new file mode 100644 index 0000000..1ad591a --- /dev/null +++ b/FPGA/commande/alarm.bdf @@ -0,0 +1,281 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 24 16 192 32) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 20 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 24 32 192 48) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 5 0 36 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 24 48 192 64) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "enable" (rect 5 0 39 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 24 64 192 80) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "activate" (rect 5 0 44 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 424 16 600 32) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "alarm" (rect 90 0 118 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 296 144 328 160) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 5 26 16)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 26 18)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 26 18)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 280 16 344 64) + (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "and" (rect 3 37 21 48)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 280 80 344 128) + (text "OR2" (rect 1 0 22 10)(font "Arial" (font_size 6))) + (text "or" (rect 3 37 14 48)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(symbol + (rect 280 160 344 240) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "latch" (rect 3 68 26 79)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 48 70)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 48 69)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 23 40)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 23 40)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) + (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 44 24)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 44 22)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 52 31)(font "Courier New" (bold))) + (text "Q" (rect 42 20 49 31)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(connector + (text "signal" (rect 352 24 381 35)(font "Arial" )) + (pt 344 40) + (pt 384 40) +) +(connector + (text "state" (rect 352 88 376 99)(font "Arial" )) + (pt 344 104) + (pt 360 104) +) +(connector + (text "resetn" (rect 296 244 307 274)(font "Arial" )(vertical)) + (pt 312 240) + (pt 312 280) +) +(connector + (text "alarm" (rect 352 168 380 179)(font "Arial" )) + (pt 344 184) + (pt 360 184) +) +(connector + (text "enable" (rect 240 16 273 27)(font "Arial" )) + (pt 232 32) + (pt 280 32) +) +(connector + (text "activate" (rect 240 32 278 43)(font "Arial" )) + (pt 232 48) + (pt 280 48) +) +(connector + (text "alarm" (rect 248 96 276 107)(font "Arial" )) + (pt 240 112) + (pt 280 112) +) +(connector + (text "signal" (rect 248 80 277 91)(font "Arial" )) + (pt 240 96) + (pt 280 96) +) +(connector + (text "clk" (rect 256 184 270 195)(font "Arial" )) + (pt 248 200) + (pt 280 200) +) +(connector + (text "state" (rect 256 168 280 179)(font "Arial" )) + (pt 248 184) + (pt 280 184) +) diff --git a/FPGA/commande/alarm.bsf b/FPGA/commande/alarm.bsf new file mode 100644 index 0000000..3cb2f0a --- /dev/null +++ b/FPGA/commande/alarm.bsf @@ -0,0 +1,64 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 16 16 144 144) + (text "alarm" (rect 5 0 36 13)(font "Arial" (font_size 8))) + (text "inst" (rect 8 113 25 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8))) + (text "enable" (rect 21 59 58 72)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "activate" (rect 0 0 43 13)(font "Arial" (font_size 8))) + (text "activate" (rect 21 75 64 88)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 128 32) + (output) + (text "alarm" (rect 0 0 31 13)(font "Arial" (font_size 8))) + (text "alarm" (rect 76 27 107 40)(font "Arial" (font_size 8))) + (line (pt 128 32)(pt 112 32)) + ) + (drawing + (rectangle (rect 16 16 112 112)) + ) +) diff --git a/FPGA/commande/commande.bdf b/FPGA/commande/commande.bdf new file mode 100644 index 0000000..3e52632 --- /dev/null +++ b/FPGA/commande/commande.bdf @@ -0,0 +1,366 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 56 0 232 16) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 16 232 32) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 9 0 39 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 48 232 64) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "fan_auto_user" (rect 9 0 80 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 64 232 80) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "alarm_user" (rect 9 0 65 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 80 232 96) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "hot" (rect 9 0 24 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 96 232 112) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "sound_high_level" (rect 9 0 95 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 32 232 48) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "speed_user[1..0]" (rect 9 0 91 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 648 0 824 16) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "speed[1..0]" (rect 90 0 145 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 648 16 824 32) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "fan_auto" (rect 90 0 133 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 648 32 824 48) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "alarm" (rect 90 0 118 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 384 0 512 128) + (text "alarm" (rect 5 0 36 13)(font "Arial" (font_size 8))) + (text "alarm_block" (rect 8 113 68 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8))) + (text "enable" (rect 21 59 58 72)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "activate" (rect 0 0 43 13)(font "Arial" (font_size 8))) + (text "activate" (rect 21 75 64 88)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 128 32) + (output) + (text "alarm" (rect 0 0 31 13)(font "Arial" (font_size 8))) + (text "alarm" (rect 76 27 107 40)(font "Arial" (font_size 8))) + (line (pt 128 32)(pt 112 32)) + ) + (drawing + (rectangle (rect 16 16 112 112)) + ) +) +(symbol + (rect 344 192 544 320) + (text "fan" (rect 5 0 22 13)(font "Arial" (font_size 8))) + (text "inst" (rect 8 113 25 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8))) + (text "enable" (rect 21 27 58 40)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "fan_auto_user" (rect 0 0 81 13)(font "Arial" (font_size 8))) + (text "fan_auto_user" (rect 21 43 102 56)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "fan_speed[1..0]" (rect 0 0 87 13)(font "Arial" (font_size 8))) + (text "fan_speed[1..0]" (rect 21 59 108 72)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 0 80) + (input) + (text "hot" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "hot" (rect 21 75 38 88)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 200 32) + (output) + (text "fan_auto" (rect 0 0 49 13)(font "Arial" (font_size 8))) + (text "fan_auto" (rect 130 27 179 40)(font "Arial" (font_size 8))) + (line (pt 200 32)(pt 184 32)) + ) + (port + (pt 200 48) + (output) + (text "speed[1..0]" (rect 0 0 62 13)(font "Arial" (font_size 8))) + (text "speed[1..0]" (rect 117 43 179 56)(font "Arial" (font_size 8))) + (line (pt 200 48)(pt 184 48)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 424 144 472 176) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 21 26 32)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 48 18)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(connector + (text "alarm" (rect 520 16 548 27)(font "Arial" )) + (pt 512 32) + (pt 528 32) +) +(connector + (text "not_alarm" (rect 480 144 529 155)(font "Arial" )) + (pt 472 160) + (pt 488 160) +) +(connector + (text "fan_auto" (rect 552 208 595 219)(font "Arial" )) + (pt 544 224) + (pt 560 224) +) +(connector + (text "speed[1..0]" (rect 552 224 607 235)(font "Arial" )) + (pt 544 240) + (pt 560 240) + (bus) +) +(connector + (text "clk" (rect 296 16 310 27)(font "Arial" )) + (pt 288 32) + (pt 384 32) +) +(connector + (text "resetn" (rect 296 32 326 43)(font "Arial" )) + (pt 288 48) + (pt 384 48) +) +(connector + (text "alarm_user" (rect 296 48 352 59)(font "Arial" )) + (pt 288 64) + (pt 384 64) +) +(connector + (text "sound_high_level" (rect 296 64 382 75)(font "Arial" )) + (pt 288 80) + (pt 384 80) +) +(connector + (text "not_alarm" (rect 272 208 321 219)(font "Arial" )) + (pt 264 224) + (pt 344 224) +) +(connector + (text "fan_auto_user" (rect 272 224 343 235)(font "Arial" )) + (pt 264 240) + (pt 344 240) +) +(connector + (text "speed_user[1..0]" (rect 272 240 354 251)(font "Arial" )) + (pt 264 256) + (pt 344 256) + (bus) +) +(connector + (text "hot" (rect 272 256 287 267)(font "Arial" )) + (pt 264 272) + (pt 344 272) +) +(connector + (text "alarm" (rect 392 144 420 155)(font "Arial" )) + (pt 384 160) + (pt 424 160) +) diff --git a/FPGA/commande/commande.bsf b/FPGA/commande/commande.bsf new file mode 100644 index 0000000..54f329e --- /dev/null +++ b/FPGA/commande/commande.bsf @@ -0,0 +1,99 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 16 16 232 176) + (text "commande" (rect 5 0 67 13)(font "Arial" (font_size 8))) + (text "inst" (rect 8 145 25 156)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "speed_user[1..0]" (rect 0 0 94 13)(font "Arial" (font_size 8))) + (text "speed_user[1..0]" (rect 21 59 115 72)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 0 80) + (input) + (text "fan_auto_user" (rect 0 0 81 13)(font "Arial" (font_size 8))) + (text "fan_auto_user" (rect 21 75 102 88)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "alarm_user" (rect 0 0 63 13)(font "Arial" (font_size 8))) + (text "alarm_user" (rect 21 91 84 104)(font "Arial" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "hot" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "hot" (rect 21 107 38 120)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "sound_high_level" (rect 0 0 97 13)(font "Arial" (font_size 8))) + (text "sound_high_level" (rect 21 123 118 136)(font "Arial" (font_size 8))) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 216 32) + (output) + (text "speed[1..0]" (rect 0 0 62 13)(font "Arial" (font_size 8))) + (text "speed[1..0]" (rect 133 27 195 40)(font "Arial" (font_size 8))) + (line (pt 216 32)(pt 200 32)(line_width 3)) + ) + (port + (pt 216 48) + (output) + (text "fan_auto" (rect 0 0 49 13)(font "Arial" (font_size 8))) + (text "fan_auto" (rect 146 43 195 56)(font "Arial" (font_size 8))) + (line (pt 216 48)(pt 200 48)) + ) + (port + (pt 216 64) + (output) + (text "alarm" (rect 0 0 31 13)(font "Arial" (font_size 8))) + (text "alarm" (rect 164 59 195 72)(font "Arial" (font_size 8))) + (line (pt 216 64)(pt 200 64)) + ) + (drawing + (rectangle (rect 16 16 200 144)) + ) +) diff --git a/FPGA/commande/commande.qpf b/FPGA/commande/commande.qpf new file mode 100644 index 0000000..e2a3bd1 --- /dev/null +++ b/FPGA/commande/commande.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 19:58:12 April 11, 2014 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "19:58:12 April 11, 2014" + +# Revisions + +PROJECT_REVISION = "commande" diff --git a/FPGA/commande/commande.qsf b/FPGA/commande/commande.qsf new file mode 100644 index 0000000..9f700cb --- /dev/null +++ b/FPGA/commande/commande.qsf @@ -0,0 +1,71 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 19:58:12 April 11, 2014 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# commande_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C35F672C6 +set_global_assignment -name TOP_LEVEL_ENTITY commande +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:58:12 APRIL 11, 2014" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" +set_global_assignment -name BDF_FILE commande.bdf +set_global_assignment -name BDF_FILE alarm.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name BDF_FILE fan.bdf +set_global_assignment -name TCL_SCRIPT_FILE commande_pin.tcl +set_location_assignment PIN_N2 -to clk +set_location_assignment PIN_G26 -to resetn +set_location_assignment PIN_AE14 -to speed_user[1] +set_location_assignment PIN_P25 -to speed_user[0] +set_location_assignment PIN_N26 -to fan_auto_user +set_location_assignment PIN_N25 -to alarm_user +set_location_assignment PIN_V2 -to hot +set_location_assignment PIN_V1 -to sound_high_level +set_location_assignment PIN_AC22 -to speed[1] +set_location_assignment PIN_AB21 -to speed[0] +set_location_assignment PIN_AF23 -to fan_auto +set_location_assignment PIN_AE23 -to alarm +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/FPGA/commande/commande_pin.tcl b/FPGA/commande/commande_pin.tcl new file mode 100644 index 0000000..c28dbc8 --- /dev/null +++ b/FPGA/commande/commande_pin.tcl @@ -0,0 +1,17 @@ +set_location_assignment PIN_N2 -to clk +set_location_assignment PIN_G26 -to resetn + +set_location_assignment PIN_AE14 -to speed_user[1] +set_location_assignment PIN_P25 -to speed_user[0] + +set_location_assignment PIN_N26 -to fan_auto_user +set_location_assignment PIN_N25 -to alarm_user + +set_location_assignment PIN_V2 -to hot +set_location_assignment PIN_V1 -to sound_high_level + +set_location_assignment PIN_AC22 -to speed[1] +set_location_assignment PIN_AB21 -to speed[0] + +set_location_assignment PIN_AF23 -to fan_auto +set_location_assignment PIN_AE23 -to alarm \ No newline at end of file diff --git a/FPGA/commande/commande_pin.tcl.bak b/FPGA/commande/commande_pin.tcl.bak new file mode 100644 index 0000000..7b34d44 --- /dev/null +++ b/FPGA/commande/commande_pin.tcl.bak @@ -0,0 +1,17 @@ +set_location_assignment PIN_N2 -to clk +set_location_assignment PIN_G26 -to resetn + +set_location_assignment PIN_AE14 -to speed_user[1] +set_location_assignment PIN_AF14 -to speed_user[0] + +set_location_assignment PIN_N26 -to fan_auto_user +set_location_assignment PIN_N25 -to alarm_user + +set_location_assignment PIN_V2 -to hot +set_location_assignment PIN_V1 -to sound_high_level + +set_location_assignment PIN_AC22 -to speed[1] +set_location_assignment PIN_AB21 -to speed[0] + +set_location_assignment PIN_AF23 -to fan_auto +set_location_assignment PIN_AE23 -to alarm \ No newline at end of file diff --git a/FPGA/commande/fan.bdf b/FPGA/commande/fan.bdf new file mode 100644 index 0000000..b67a23a --- /dev/null +++ b/FPGA/commande/fan.bdf @@ -0,0 +1,412 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 48 40 224 56) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "fan_auto_user" (rect 5 0 77 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 48 56 224 72) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "fan_speed[1..0]" (rect 5 0 82 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 72 224 88) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "hot" (rect 5 0 21 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 56 24 224 40) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "enable" (rect 5 0 39 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 544 24 720 40) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "fan_auto" (rect 90 0 133 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 544 40 720 56) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "speed[1..0]" (rect 90 0 145 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 352 24 416 72) + (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 37 20 48)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 360 216 408 248) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 21 26 32)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 352 152 416 200) + (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 37 26 48)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 352 264 416 312) + (text "AND3" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 37 26 48)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)) + ) + (drawing + (line (pt 16 12)(pt 31 12)) + (line (pt 16 37)(pt 31 37)) + (line (pt 16 12)(pt 16 37)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) + ) +) +(symbol + (rect 352 328 416 376) + (text "AND3" (rect 1 0 28 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 37 26 48)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 16 16)) + ) + (port + (pt 0 24) + (input) + (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 15 22 26)(font "Courier New" (bold))(invisible)) + (line (pt 0 24)(pt 16 24)) + ) + (port + (pt 0 32) + (input) + (text "IN3" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 43 24)(pt 64 24)) + ) + (drawing + (line (pt 16 12)(pt 31 12)) + (line (pt 16 37)(pt 31 37)) + (line (pt 16 12)(pt 16 37)) + (arc (pt 31 36)(pt 31 12)(rect 19 12 44 37)) + ) +) +(symbol + (rect 352 88 416 136) + (text "OR2" (rect 1 0 22 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 37 26 48)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)) + ) + (drawing + (line (pt 14 36)(pt 25 36)) + (line (pt 14 13)(pt 25 13)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) + ) +) +(connector + (text "fan_auto" (rect 440 40 483 51)(font "Arial" )) + (pt 416 48) + (pt 432 48) +) +(connector + (text "enable" (rect 296 32 329 43)(font "Arial" )) + (pt 336 40) + (pt 352 40) +) +(connector + (text "fan_auto_user" (rect 264 48 335 59)(font "Arial" )) + (pt 336 56) + (pt 352 56) +) +(connector + (text "not_fan_auto_user" (rect 432 224 525 235)(font "Arial" )) + (pt 408 232) + (pt 424 232) +) +(connector + (text "speed[0]" (rect 440 344 482 355)(font "Arial" )) + (pt 416 352) + (pt 432 352) +) +(connector + (text "fan_auto" (rect 288 160 331 171)(font "Arial" )) + (pt 336 168) + (pt 352 168) +) +(connector + (text "hot" (rect 312 176 327 187)(font "Arial" )) + (pt 336 184) + (pt 352 184) +) +(connector + (text "enable" (rect 296 272 329 283)(font "Arial" )) + (pt 336 280) + (pt 352 280) +) +(connector + (text "not_fan_auto_user" (rect 248 280 341 291)(font "Arial" )) + (pt 336 288) + (pt 352 288) +) +(connector + (text "fan_speed[1]" (rect 272 288 335 299)(font "Arial" )) + (pt 336 296) + (pt 352 296) +) +(connector + (text "enable" (rect 296 336 329 347)(font "Arial" )) + (pt 336 344) + (pt 352 344) +) +(connector + (text "not_fan_auto_user" (rect 248 344 341 355)(font "Arial" )) + (pt 336 352) + (pt 352 352) +) +(connector + (text "fan_speed[0]" (rect 272 352 335 363)(font "Arial" )) + (pt 336 360) + (pt 352 360) +) +(connector + (text "fan_auto_user" (rect 272 224 343 235)(font "Arial" )) + (pt 344 232) + (pt 360 232) +) +(connector + (text "speed[1]" (rect 440 104 482 115)(font "Arial" )) + (pt 416 112) + (pt 432 112) +) +(connector + (text "speed1_1" (rect 440 168 488 179)(font "Arial" )) + (pt 416 176) + (pt 432 176) +) +(connector + (text "speed1_2" (rect 440 280 488 291)(font "Arial" )) + (pt 416 288) + (pt 432 288) +) +(connector + (text "speed1_1" (rect 288 96 336 107)(font "Arial" )) + (pt 352 104) + (pt 336 104) +) +(connector + (text "speed1_2" (rect 288 112 336 123)(font "Arial" )) + (pt 352 120) + (pt 336 120) +) diff --git a/FPGA/commande/fan.bsf b/FPGA/commande/fan.bsf new file mode 100644 index 0000000..6cf1705 --- /dev/null +++ b/FPGA/commande/fan.bsf @@ -0,0 +1,71 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 16 16 216 144) + (text "fan" (rect 5 0 22 13)(font "Arial" (font_size 8))) + (text "inst" (rect 8 113 25 124)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8))) + (text "enable" (rect 21 27 58 40)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "fan_auto_user" (rect 0 0 81 13)(font "Arial" (font_size 8))) + (text "fan_auto_user" (rect 21 43 102 56)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "fan_speed[1..0]" (rect 0 0 87 13)(font "Arial" (font_size 8))) + (text "fan_speed[1..0]" (rect 21 59 108 72)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 0 80) + (input) + (text "hot" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "hot" (rect 21 75 38 88)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 200 32) + (output) + (text "fan_auto" (rect 0 0 49 13)(font "Arial" (font_size 8))) + (text "fan_auto" (rect 130 27 179 40)(font "Arial" (font_size 8))) + (line (pt 200 32)(pt 184 32)) + ) + (port + (pt 200 48) + (output) + (text "speed[1..0]" (rect 0 0 62 13)(font "Arial" (font_size 8))) + (text "speed[1..0]" (rect 117 43 179 56)(font "Arial" (font_size 8))) + (line (pt 200 48)(pt 184 48)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) -- cgit v1.2.3