From 70318492f3472ff2ec3b1735cf69a4eef1f6a51d Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Fri, 13 Jun 2014 16:06:19 +0200 Subject: Update project --- FPGA/display/lpm_constant_a.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'FPGA/display/lpm_constant_a.vhd') diff --git a/FPGA/display/lpm_constant_a.vhd b/FPGA/display/lpm_constant_a.vhd index 85be988..2dbcc00 100644 --- a/FPGA/display/lpm_constant_a.vhd +++ b/FPGA/display/lpm_constant_a.vhd @@ -104,6 +104,6 @@ END SYN; -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_a.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_a.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_a.cmp TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_a.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_a.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_a_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm -- cgit v1.2.3