From 4762ef9b7238f67d065775b752ebf51289c1f437 Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Sun, 15 Jun 2014 15:28:10 +0200 Subject: Clean project --- FPGA/display/display.bdf | 233 ++++++++++++++++------------------ FPGA/display/display.qsf | 7 +- FPGA/display/display.qws | Bin 1438 -> 842 bytes FPGA/display/display.srf | 1 + FPGA/display/display.tcl | 136 ++++++++++++++++++++ FPGA/display/display_pin.tcl | 62 --------- FPGA/display/greybox_tmp/cbx_args.txt | 7 - FPGA/display/lpm_constant_1.qip | 5 + FPGA/display/lpm_constant_1.vhd | 2 +- FPGA/display/lpm_constant_f.qip | 5 + FPGA/display/lpm_counter0.qip | 0 11 files changed, 262 insertions(+), 196 deletions(-) create mode 100644 FPGA/display/display.srf create mode 100644 FPGA/display/display.tcl delete mode 100644 FPGA/display/display_pin.tcl delete mode 100644 FPGA/display/greybox_tmp/cbx_args.txt create mode 100644 FPGA/display/lpm_constant_1.qip delete mode 100644 FPGA/display/lpm_counter0.qip (limited to 'FPGA/display') diff --git a/FPGA/display/display.bdf b/FPGA/display/display.bdf index fb2a670..5c1b787 100644 --- a/FPGA/display/display.bdf +++ b/FPGA/display/display.bdf @@ -22,8 +22,8 @@ applicable agreement for further details. (pin (input) (rect 96 48 272 64) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "fan_auto" (rect 9 0 53 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "fan_auto" (rect 9 0 52 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -33,14 +33,13 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 32 64 96 80)) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 96 64 272 80) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "alarm_user" (rect 9 0 66 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "alarm_user" (rect 9 0 65 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -50,13 +49,12 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 32 80 96 96)) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 96 80 272 96) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) (pt 176 8) (drawing @@ -67,14 +65,13 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 24 96 96 112)) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 104 8 280 24) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "clk" (rect 9 0 24 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -84,13 +81,13 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 104 24 280 40) - (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) - (text "resetn" (rect 9 0 40 11)(font "Arial" )) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) @@ -100,12 +97,12 @@ applicable agreement for further details. (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) - (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (output) (rect 760 48 936 64) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -117,12 +114,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 64 992 80)) ) (pin (output) (rect 760 64 936 80) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -134,12 +130,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 80 992 96)) ) (pin (output) (rect 760 104 936 120) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex4[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -151,12 +146,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 120 992 136)) ) (pin (output) (rect 760 88 936 104) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex5[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -168,12 +162,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 104 992 120)) ) (pin (output) (rect 760 176 936 192) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex0[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -185,12 +178,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 192 1000 208)) ) (pin (output) (rect 760 160 936 176) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex1[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -202,12 +194,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 176 1000 192)) ) (pin (output) (rect 760 144 936 160) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex2[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -219,12 +210,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 160 1008 176)) ) (pin (output) (rect 760 128 936 144) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex3[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing @@ -236,11 +226,10 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 936 144 1000 160)) ) (symbol (rect 216 544 248 576) - (text "GND" (rect 8 16 30 26)(font "Arial" (font_size 6))) + (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst1" (rect 3 21 26 32)(font "Arial" )(invisible)) (port (pt 16 0) @@ -257,8 +246,8 @@ applicable agreement for further details. ) (symbol (rect 392 496 568 576) - (text "seven_segment_decoder" (rect 5 0 131 11)(font "Arial" )) - (text "fan_speed" (rect 8 64 61 75)(font "Arial" )) + (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) + (text "fan_speed" (rect 8 64 59 75)(font "Arial" )) (port (pt 0 32) (input) @@ -270,7 +259,7 @@ applicable agreement for further details. (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) - (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) + (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter @@ -285,8 +274,8 @@ applicable agreement for further details. ) (symbol (rect 392 624 568 704) - (text "seven_segment_decoder" (rect 5 0 131 11)(font "Arial" )) - (text "a" (rect 8 64 16 75)(font "Arial" )) + (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) + (text "a" (rect 8 64 15 75)(font "Arial" )) (port (pt 0 32) (input) @@ -298,7 +287,7 @@ applicable agreement for further details. (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) - (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) + (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter @@ -313,8 +302,8 @@ applicable agreement for further details. ) (symbol (rect 392 752 568 832) - (text "seven_segment_decoder" (rect 5 0 131 11)(font "Arial" )) - (text "f" (rect 8 64 12 75)(font "Arial" )) + (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) + (text "f" (rect 8 64 11 75)(font "Arial" )) (port (pt 0 32) (input) @@ -326,7 +315,7 @@ applicable agreement for further details. (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) - (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) + (text "hex[6..0]" (rect 120 27 155 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter @@ -341,34 +330,34 @@ applicable agreement for further details. ) (symbol (rect 424 320 536 408) - (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) - (text "inst10" (rect 3 77 38 90)(font "Arial" (font_size 8))) + (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) + (text "inst10" (rect 3 77 37 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) - (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) - (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) + (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) + (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) - (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) - (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) - (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) - (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) + (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) + (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) - (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) - (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) + (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 106 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter @@ -378,7 +367,7 @@ applicable agreement for further details. " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing - (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) + (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) @@ -389,34 +378,34 @@ applicable agreement for further details. ) (symbol (rect 424 152 536 240) - (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) - (text "inst9" (rect 3 77 31 90)(font "Arial" (font_size 8))) + (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) + (text "inst9" (rect 3 77 30 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) - (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) - (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) + (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) + (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) - (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) - (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) + (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) - (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) - (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) + (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) + (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) - (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) - (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) + (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) + (text "result[]" (rect 75 35 106 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter @@ -426,7 +415,7 @@ applicable agreement for further details. " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing - (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) + (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) @@ -437,17 +426,17 @@ applicable agreement for further details. ) (symbol (rect 264 760 376 808) - (text "lpm_constant_f" (rect 12 0 121 16)(font "Arial" (font_size 10))) + (text "lpm_constant_f" (rect 12 0 120 16)(font "Arial" (font_size 10))) (text "inst21" (rect 8 33 37 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[3..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) - (text "15" (rect 81 18 96 31)(font "Arial" (font_size 8))) + (text "result[3..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "15" (rect 81 18 95 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "4" (rect 99 27 107 38)(font "Arial" )) + (text "4" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -466,16 +455,16 @@ applicable agreement for further details. (symbol (rect 424 48 536 96) (text "lpm_constant_1" (rect 10 0 120 16)(font "Arial" (font_size 10))) - (text "inst" (rect 8 33 26 44)(font "Arial" )) + (text "inst" (rect 8 33 25 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[6..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) - (text "127" (rect 75 18 97 31)(font "Arial" (font_size 8))) + (text "result[6..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "127" (rect 75 18 96 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "7" (rect 99 27 107 38)(font "Arial" )) + (text "7" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -493,17 +482,17 @@ applicable agreement for further details. ) (symbol (rect 688 -112 800 -64) - (text "lpm_constant7nada" (rect 1 0 140 16)(font "Arial" (font_size 10))) - (text "inst3" (rect 8 33 32 44)(font "Arial" )) + (text "lpm_constant7nada" (rect 1 0 139 16)(font "Arial" (font_size 10))) + (text "inst3" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[6..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) - (text "127" (rect 75 18 97 31)(font "Arial" (font_size 8))) + (text "result[6..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "127" (rect 75 18 96 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "7" (rect 99 27 107 38)(font "Arial" )) + (text "7" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -520,49 +509,49 @@ applicable agreement for further details. ) ) (symbol - (rect 392 -144 536 -16) - (text "useless" (rect 5 0 49 13)(font "Arial" (font_size 8))) - (text "inst2" (rect 8 113 32 124)(font "Arial" )) + (rect 392 -136 536 -8) + (text "useless" (rect 5 0 48 13)(font "Arial" (font_size 8))) + (text "inst2" (rect 8 113 31 124)(font "Arial" )) (port (pt 0 32) (input) - (text "clk" (rect 0 0 18 13)(font "Arial" (font_size 8))) - (text "clk" (rect 21 27 39 40)(font "Arial" (font_size 8))) + (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) + (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) - (text "resetn" (rect 0 0 36 13)(font "Arial" (font_size 8))) - (text "resetn" (rect 21 43 57 56)(font "Arial" (font_size 8))) + (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) + (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 144 32) (output) - (text "hex3[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) - (text "hex3[6..0]" (rect 67 27 123 40)(font "Arial" (font_size 8))) + (text "hex3[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex3[6..0]" (rect 67 27 122 40)(font "Arial" (font_size 8))) (line (pt 144 32)(pt 128 32)(line_width 3)) ) (port (pt 144 48) (output) - (text "hex2[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) - (text "hex2[6..0]" (rect 67 43 123 56)(font "Arial" (font_size 8))) + (text "hex2[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex2[6..0]" (rect 67 43 122 56)(font "Arial" (font_size 8))) (line (pt 144 48)(pt 128 48)(line_width 3)) ) (port (pt 144 64) (output) - (text "hex1[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) - (text "hex1[6..0]" (rect 67 59 123 72)(font "Arial" (font_size 8))) + (text "hex1[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex1[6..0]" (rect 67 59 122 72)(font "Arial" (font_size 8))) (line (pt 144 64)(pt 128 64)(line_width 3)) ) (port (pt 144 80) (output) - (text "hex0[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) - (text "hex0[6..0]" (rect 67 75 123 88)(font "Arial" (font_size 8))) + (text "hex0[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) + (text "hex0[6..0]" (rect 67 75 122 88)(font "Arial" (font_size 8))) (line (pt 144 80)(pt 128 80)(line_width 3)) ) (drawing @@ -571,17 +560,17 @@ applicable agreement for further details. ) (symbol (rect 264 632 376 680) - (text "lpm_constant_a" (rect 10 0 122 16)(font "Arial" (font_size 10))) - (text "inst20" (rect 8 33 38 44)(font "Arial" )) + (text "lpm_constant_a" (rect 10 0 120 16)(font "Arial" (font_size 10))) + (text "inst20" (rect 8 33 37 44)(font "Arial" )) (port (pt 112 24) (output) - (text "result[3..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) - (text "10" (rect 81 18 96 31)(font "Arial" (font_size 8))) + (text "result[3..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) + (text "10" (rect 81 18 95 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing - (text "4" (rect 99 27 107 38)(font "Arial" )) + (text "4" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) @@ -620,12 +609,12 @@ applicable agreement for further details. (bus) ) (connector - (text "alarm_user" (rect 464 408 475 465)(font "Arial" )(vertical)) + (text "alarm_user" (rect 464 408 475 464)(font "Arial" )(vertical)) (pt 480 408) (pt 480 472) ) (connector - (text "fan_auto" (rect 464 243 475 287)(font "Arial" )(vertical)) + (text "fan_auto" (rect 464 243 475 286)(font "Arial" )(vertical)) (pt 480 240) (pt 480 296) ) @@ -702,43 +691,43 @@ applicable agreement for further details. (pt 232 544) ) (connector - (text "hex3[6..0]" (rect 544 -128 592 -117)(font "Arial" )) - (pt 536 -112) - (pt 584 -112) + (text "hex5[6..0]" (rect 808 -104 856 -93)(font "Arial" )) + (pt 800 -88) + (pt 872 -88) (bus) ) (connector - (text "hex2[6..0]" (rect 544 -112 592 -101)(font "Arial" )) - (pt 536 -96) - (pt 584 -96) + (text "hex3[6..0]" (rect 544 -120 592 -109)(font "Arial" )) + (pt 536 -104) + (pt 584 -104) (bus) ) (connector - (text "hex1[6..0]" (rect 544 -96 592 -85)(font "Arial" )) - (pt 536 -80) - (pt 584 -80) + (text "hex2[6..0]" (rect 544 -104 592 -93)(font "Arial" )) + (pt 536 -88) + (pt 584 -88) (bus) ) (connector - (text "hex0[6..0]" (rect 544 -80 592 -69)(font "Arial" )) - (pt 536 -64) - (pt 584 -64) + (text "hex1[6..0]" (rect 544 -88 592 -77)(font "Arial" )) + (pt 536 -72) + (pt 584 -72) (bus) ) (connector - (text "clk" (rect 344 -128 359 -117)(font "Arial" )) - (pt 392 -112) - (pt 336 -112) + (text "hex0[6..0]" (rect 544 -72 592 -61)(font "Arial" )) + (pt 536 -56) + (pt 584 -56) + (bus) ) (connector - (text "resetn" (rect 344 -112 375 -101)(font "Arial" )) - (pt 392 -96) - (pt 336 -96) + (text "clk" (rect 344 -120 358 -109)(font "Arial" )) + (pt 392 -104) + (pt 336 -104) ) (connector - (text "hex5[6..0]" (rect 808 -104 856 -93)(font "Arial" )) - (pt 800 -88) - (pt 872 -88) - (bus) + (text "resetn" (rect 344 -104 374 -93)(font "Arial" )) + (pt 392 -88) + (pt 336 -88) ) (junction (pt 232 528)) diff --git a/FPGA/display/display.qsf b/FPGA/display/display.qsf index 67f8f87..7cc0772 100644 --- a/FPGA/display/display.qsf +++ b/FPGA/display/display.qsf @@ -112,14 +112,13 @@ set_location_assignment PIN_L6 -to hex7[3] set_location_assignment PIN_L7 -to hex7[4] set_location_assignment PIN_P9 -to hex7[5] set_location_assignment PIN_N9 -to hex7[6] +set_global_assignment -name QIP_FILE lpm_constant_f.qip +set_global_assignment -name QIP_FILE lpm_constant_a.qip +set_global_assignment -name QIP_FILE lpm_constant_1.qip set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd set_global_assignment -name BDF_FILE display.bdf set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd -set_global_assignment -name TCL_SCRIPT_FILE display_pin.tcl set_global_assignment -name BDF_FILE useless.bdf set_global_assignment -name QIP_FILE lpm_shiftreg0.qip -set_global_assignment -name QIP_FILE lpm_constant0.qip -set_global_assignment -name QIP_FILE lpm_constant1.qip -set_global_assignment -name QIP_FILE lpm_constant2.qip set_global_assignment -name QIP_FILE lpm_constant7nada.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/FPGA/display/display.qws b/FPGA/display/display.qws index 5e0fdf9..726df06 100644 Binary files a/FPGA/display/display.qws and b/FPGA/display/display.qws differ diff --git a/FPGA/display/display.srf b/FPGA/display/display.srf new file mode 100644 index 0000000..54e61a1 --- /dev/null +++ b/FPGA/display/display.srf @@ -0,0 +1 @@ +{ "" "" "" "Output pins are stuck at VCC or GND" { } { } 0 13024 "" 0 0 "Quartus II" 0 -1 0 ""} diff --git a/FPGA/display/display.tcl b/FPGA/display/display.tcl new file mode 100644 index 0000000..bbdd53c --- /dev/null +++ b/FPGA/display/display.tcl @@ -0,0 +1,136 @@ +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. + +# Quartus II: Generate Tcl File for Project +# File: display.tcl +# Generated on: Sun Jun 15 15:04:48 2014 + +# Load Quartus II Tcl Project package +package require ::quartus::project + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "display"]} { + puts "Project display is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists display]} { + project_open -revision display display + } else { + project_new -revision display display + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + set_global_assignment -name FAMILY "Cyclone II" + set_global_assignment -name DEVICE EP2C35F672C6 + set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" + set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:12:46 APRIL 11, 2014" + set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" + set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files + set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 + set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 + set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 + set_global_assignment -name USE_CONFIGURATION_DEVICE ON + set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" + set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top + set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top + set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top + set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" + set_global_assignment -name QIP_FILE lpm_constant_f.qip + set_global_assignment -name QIP_FILE lpm_constant_a.qip + set_global_assignment -name QIP_FILE lpm_constant_1.qip + set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd + set_global_assignment -name BDF_FILE display.bdf + set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd + set_global_assignment -name BDF_FILE useless.bdf + set_global_assignment -name QIP_FILE lpm_shiftreg0.qip + set_global_assignment -name QIP_FILE lpm_constant7nada.qip + set_location_assignment PIN_AE14 -to speed[1] + set_location_assignment PIN_P25 -to speed[0] + set_location_assignment PIN_N26 -to fan_auto + set_location_assignment PIN_N25 -to alarm_user + set_location_assignment PIN_AF10 -to hex0[0] + set_location_assignment PIN_AB12 -to hex0[1] + set_location_assignment PIN_AC12 -to hex0[2] + set_location_assignment PIN_AD11 -to hex0[3] + set_location_assignment PIN_AE11 -to hex0[4] + set_location_assignment PIN_V14 -to hex0[5] + set_location_assignment PIN_V13 -to hex0[6] + set_location_assignment PIN_V20 -to hex1[0] + set_location_assignment PIN_V21 -to hex1[1] + set_location_assignment PIN_W21 -to hex1[2] + set_location_assignment PIN_Y22 -to hex1[3] + set_location_assignment PIN_AA24 -to hex1[4] + set_location_assignment PIN_AA23 -to hex1[5] + set_location_assignment PIN_AB24 -to hex1[6] + set_location_assignment PIN_AB23 -to hex2[0] + set_location_assignment PIN_V22 -to hex2[1] + set_location_assignment PIN_AC25 -to hex2[2] + set_location_assignment PIN_AC26 -to hex2[3] + set_location_assignment PIN_AB26 -to hex2[4] + set_location_assignment PIN_AB25 -to hex2[5] + set_location_assignment PIN_Y24 -to hex2[6] + set_location_assignment PIN_Y23 -to hex3[0] + set_location_assignment PIN_AA25 -to hex3[1] + set_location_assignment PIN_AA26 -to hex3[2] + set_location_assignment PIN_Y26 -to hex3[3] + set_location_assignment PIN_Y25 -to hex3[4] + set_location_assignment PIN_U22 -to hex3[5] + set_location_assignment PIN_W24 -to hex3[6] + set_location_assignment PIN_U9 -to hex4[0] + set_location_assignment PIN_U1 -to hex4[1] + set_location_assignment PIN_U2 -to hex4[2] + set_location_assignment PIN_T4 -to hex4[3] + set_location_assignment PIN_R7 -to hex4[4] + set_location_assignment PIN_R6 -to hex4[5] + set_location_assignment PIN_T3 -to hex4[6] + set_location_assignment PIN_T2 -to hex5[0] + set_location_assignment PIN_P6 -to hex5[1] + set_location_assignment PIN_P7 -to hex5[2] + set_location_assignment PIN_T9 -to hex5[3] + set_location_assignment PIN_R5 -to hex5[4] + set_location_assignment PIN_R4 -to hex5[5] + set_location_assignment PIN_R3 -to hex5[6] + set_location_assignment PIN_R2 -to hex6[0] + set_location_assignment PIN_P4 -to hex6[1] + set_location_assignment PIN_P3 -to hex6[2] + set_location_assignment PIN_M2 -to hex6[3] + set_location_assignment PIN_M3 -to hex6[4] + set_location_assignment PIN_M5 -to hex6[5] + set_location_assignment PIN_M4 -to hex6[6] + set_location_assignment PIN_L3 -to hex7[0] + set_location_assignment PIN_L2 -to hex7[1] + set_location_assignment PIN_L9 -to hex7[2] + set_location_assignment PIN_L6 -to hex7[3] + set_location_assignment PIN_L7 -to hex7[4] + set_location_assignment PIN_P9 -to hex7[5] + set_location_assignment PIN_N9 -to hex7[6] + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top + + # Commit assignments + export_assignments + + # Close project + if {$need_to_close_project} { + project_close + } +} diff --git a/FPGA/display/display_pin.tcl b/FPGA/display/display_pin.tcl deleted file mode 100644 index 79fc8ad..0000000 --- a/FPGA/display/display_pin.tcl +++ /dev/null @@ -1,62 +0,0 @@ -set_location_assignment PIN_AE14 -to speed[1] -set_location_assignment PIN_P25 -to speed[0] - -set_location_assignment PIN_N26 -to fan_auto -set_location_assignment PIN_N25 -to alarm_user - -set_location_assignment PIN_AF10 -to hex0[0] -set_location_assignment PIN_AB12 -to hex0[1] -set_location_assignment PIN_AC12 -to hex0[2] -set_location_assignment PIN_AD11 -to hex0[3] -set_location_assignment PIN_AE11 -to hex0[4] -set_location_assignment PIN_V14 -to hex0[5] -set_location_assignment PIN_V13 -to hex0[6] -set_location_assignment PIN_V20 -to hex1[0] -set_location_assignment PIN_V21 -to hex1[1] -set_location_assignment PIN_W21 -to hex1[2] -set_location_assignment PIN_Y22 -to hex1[3] -set_location_assignment PIN_AA24 -to hex1[4] -set_location_assignment PIN_AA23 -to hex1[5] -set_location_assignment PIN_AB24 -to hex1[6] -set_location_assignment PIN_AB23 -to hex2[0] -set_location_assignment PIN_V22 -to hex2[1] -set_location_assignment PIN_AC25 -to hex2[2] -set_location_assignment PIN_AC26 -to hex2[3] -set_location_assignment PIN_AB26 -to hex2[4] -set_location_assignment PIN_AB25 -to hex2[5] -set_location_assignment PIN_Y24 -to hex2[6] -set_location_assignment PIN_Y23 -to hex3[0] -set_location_assignment PIN_AA25 -to hex3[1] -set_location_assignment PIN_AA26 -to hex3[2] -set_location_assignment PIN_Y26 -to hex3[3] -set_location_assignment PIN_Y25 -to hex3[4] -set_location_assignment PIN_U22 -to hex3[5] -set_location_assignment PIN_W24 -to hex3[6] -set_location_assignment PIN_U9 -to hex4[0] -set_location_assignment PIN_U1 -to hex4[1] -set_location_assignment PIN_U2 -to hex4[2] -set_location_assignment PIN_T4 -to hex4[3] -set_location_assignment PIN_R7 -to hex4[4] -set_location_assignment PIN_R6 -to hex4[5] -set_location_assignment PIN_T3 -to hex4[6] -set_location_assignment PIN_T2 -to hex5[0] -set_location_assignment PIN_P6 -to hex5[1] -set_location_assignment PIN_P7 -to hex5[2] -set_location_assignment PIN_T9 -to hex5[3] -set_location_assignment PIN_R5 -to hex5[4] -set_location_assignment PIN_R4 -to hex5[5] -set_location_assignment PIN_R3 -to hex5[6] -set_location_assignment PIN_R2 -to hex6[0] -set_location_assignment PIN_P4 -to hex6[1] -set_location_assignment PIN_P3 -to hex6[2] -set_location_assignment PIN_M2 -to hex6[3] -set_location_assignment PIN_M3 -to hex6[4] -set_location_assignment PIN_M5 -to hex6[5] -set_location_assignment PIN_M4 -to hex6[6] -set_location_assignment PIN_L3 -to hex7[0] -set_location_assignment PIN_L2 -to hex7[1] -set_location_assignment PIN_L9 -to hex7[2] -set_location_assignment PIN_L6 -to hex7[3] -set_location_assignment PIN_L7 -to hex7[4] -set_location_assignment PIN_P9 -to hex7[5] -set_location_assignment PIN_N9 -to hex7[6] \ No newline at end of file diff --git a/FPGA/display/greybox_tmp/cbx_args.txt b/FPGA/display/greybox_tmp/cbx_args.txt deleted file mode 100644 index 736ee27..0000000 --- a/FPGA/display/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,7 +0,0 @@ -LPM_CVALUE=127 -LPM_HINT=ENABLE_RUNTIME_MOD=NO -LPM_TYPE=LPM_CONSTANT -LPM_WIDTH=7 -DEVICE_FAMILY="Cyclone II" -CBX_AUTO_BLACKBOX=ALL -result diff --git a/FPGA/display/lpm_constant_1.qip b/FPGA/display/lpm_constant_1.qip new file mode 100644 index 0000000..09d0b13 --- /dev/null +++ b/FPGA/display/lpm_constant_1.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CONSTANT" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_constant_1.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_1.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_1.cmp"] diff --git a/FPGA/display/lpm_constant_1.vhd b/FPGA/display/lpm_constant_1.vhd index e335a61..980e05a 100644 --- a/FPGA/display/lpm_constant_1.vhd +++ b/FPGA/display/lpm_constant_1.vhd @@ -104,6 +104,6 @@ END SYN; -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_1.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_1.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_1.cmp TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_1.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_1.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant_1_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm diff --git a/FPGA/display/lpm_constant_f.qip b/FPGA/display/lpm_constant_f.qip index e69de29..4b32ea2 100644 --- a/FPGA/display/lpm_constant_f.qip +++ b/FPGA/display/lpm_constant_f.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CONSTANT" +set_global_assignment -name IP_TOOL_VERSION "13.0" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_constant_f.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_f.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_constant_f.cmp"] diff --git a/FPGA/display/lpm_counter0.qip b/FPGA/display/lpm_counter0.qip deleted file mode 100644 index e69de29..0000000 -- cgit v1.2.3