From 70318492f3472ff2ec3b1735cf69a4eef1f6a51d Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Fri, 13 Jun 2014 16:06:19 +0200 Subject: Update project --- FPGA/pwm/pwm.bdf | 502 +++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 502 insertions(+) create mode 100644 FPGA/pwm/pwm.bdf (limited to 'FPGA/pwm/pwm.bdf') diff --git a/FPGA/pwm/pwm.bdf b/FPGA/pwm/pwm.bdf new file mode 100644 index 0000000..8e22468 --- /dev/null +++ b/FPGA/pwm/pwm.bdf @@ -0,0 +1,502 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 72 64 248 80) + (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 24 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 16 80 72 96)) +) +(pin + (input) + (rect 72 80 248 96) + (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "resetn" (rect 9 0 40 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 8 96 72 112)) +) +(pin + (input) + (rect 72 96 248 112) + (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) + (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 8 112 72 128)) +) +(pin + (output) + (rect 952 64 1128 80) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "fan" (rect 90 0 106 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1128 80 1192 96)) +) +(pin + (output) + (rect 952 80 1128 96) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "led_fan" (rect 90 0 127 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1128 96 1192 112)) +) +(symbol + (rect 352 160 496 272) + (text "lpm_mux0" (rect 43 0 117 16)(font "Arial" (font_size 10))) + (text "inst" (rect 8 97 26 108)(font "Arial" )) + (port + (pt 0 40) + (input) + (text "data3x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) + (text "data3x[22..0]" (rect 4 27 78 40)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 64 40)(line_width 3)) + ) + (port + (pt 0 56) + (input) + (text "data2x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) + (text "data2x[22..0]" (rect 4 43 78 56)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 64 56)(line_width 3)) + ) + (port + (pt 0 72) + (input) + (text "data1x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) + (text "data1x[22..0]" (rect 4 59 78 72)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 64 72)(line_width 3)) + ) + (port + (pt 0 88) + (input) + (text "data0x[22..0]" (rect 0 0 74 13)(font "Arial" (font_size 8))) + (text "data0x[22..0]" (rect 4 75 78 88)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 64 88)(line_width 3)) + ) + (port + (pt 72 112) + (input) + (text "sel[1..0]" (rect 0 0 13 46)(font "Arial" (font_size 8))(vertical)) + (text "sel[1..0]" (rect 66 57 79 103)(font "Arial" (font_size 8))(vertical)) + (line (pt 72 112)(pt 72 100)(line_width 3)) + ) + (port + (pt 144 64) + (output) + (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "result[22..0]" (rect 85 51 152 64)(font "Arial" (font_size 8))) + (line (pt 144 64)(pt 80 64)(line_width 3)) + ) + (drawing + (line (pt 64 24)(pt 64 104)) + (line (pt 64 24)(pt 80 32)) + (line (pt 64 104)(pt 80 96)) + (line (pt 80 32)(pt 80 96)) + (line (pt 0 0)(pt 146 0)) + (line (pt 146 0)(pt 146 114)) + (line (pt 0 114)(pt 146 114)) + (line (pt 0 0)(pt 0 114)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 328 544 440 592) + (text "lpm_constant0" (rect 14 0 117 16)(font "Arial" (font_size 10))) + (text "inst3" (rect 8 33 32 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "0" (rect 87 18 95 31)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "23" (rect 94 27 108 38)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 328 488 440 536) + (text "lpm_constant1" (rect 14 0 116 16)(font "Arial" (font_size 10))) + (text "inst5" (rect 8 33 32 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "2500000" (rect 52 18 102 31)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "23" (rect 94 27 108 38)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 328 432 440 480) + (text "lpm_constant2" (rect 14 0 117 16)(font "Arial" (font_size 10))) + (text "inst6" (rect 8 33 32 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "3750000" (rect 52 18 102 31)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "23" (rect 94 27 108 38)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 328 376 440 424) + (text "lpm_constant3" (rect 14 0 117 16)(font "Arial" (font_size 10))) + (text "inst7" (rect 8 33 32 44)(font "Arial" )) + (port + (pt 112 24) + (output) + (text "result[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) + (text "4500000" (rect 52 18 102 31)(font "Arial" (font_size 8))) + (line (pt 112 24)(pt 96 24)(line_width 3)) + ) + (drawing + (text "23" (rect 94 27 108 38)(font "Arial" )) + (line (pt 106 20)(pt 98 28)) + (line (pt 16 16)(pt 16 32)) + (line (pt 16 16)(pt 96 16)) + (line (pt 16 32)(pt 96 32)) + (line (pt 96 16)(pt 96 32)) + (line (pt 0 0)(pt 114 0)) + (line (pt 114 0)(pt 114 50)) + (line (pt 0 50)(pt 114 50)) + (line (pt 0 0)(pt 0 50)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 344 -32 488 48) + (text "lpm_counter1" (rect 33 0 128 16)(font "Arial" (font_size 10))) + (text "inst8" (rect 8 65 32 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clock" (rect 0 0 31 13)(font "Arial" (font_size 8))) + (text "clock" (rect 26 26 57 39)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 80 80) + (input) + (text "aclr" (rect 0 0 13 22)(font "Arial" (font_size 8))(vertical)) + (text "aclr" (rect 74 41 87 63)(font "Arial" (font_size 8))(vertical)) + (line (pt 80 80)(pt 80 64)) + ) + (port + (pt 144 40) + (output) + (text "q[22..0]" (rect 0 0 43 13)(font "Arial" (font_size 8))) + (text "q[22..0]" (rect 89 34 132 47)(font "Arial" (font_size 8))) + (line (pt 144 40)(pt 128 40)(line_width 3)) + ) + (drawing + (text "modulus 5000000" (rect 54 31 143 42)(font "Arial" )) + (text "up counter" (rect 84 23 139 34)(font "Arial" )) + (line (pt 16 16)(pt 16 64)) + (line (pt 16 16)(pt 128 16)) + (line (pt 16 64)(pt 128 64)) + (line (pt 128 16)(pt 128 64)) + (line (pt 0 0)(pt 146 0)) + (line (pt 146 0)(pt 146 82)) + (line (pt 0 82)(pt 146 82)) + (line (pt 0 0)(pt 0 82)) + (line (pt 16 26)(pt 22 32)) + (line (pt 22 32)(pt 16 38)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 680 -40 808 56) + (text "lpm_compare0" (rect 20 0 126 16)(font "Arial" (font_size 10))) + (text "inst9" (rect 8 81 32 92)(font "Arial" )) + (port + (pt 0 48) + (input) + (text "dataa[22..0]" (rect 0 0 68 13)(font "Arial" (font_size 8))) + (text "dataa[22..0]" (rect 20 42 88 55)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "datab[22..0]" (rect 0 0 68 13)(font "Arial" (font_size 8))) + (text "datab[22..0]" (rect 20 58 88 71)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 128 56) + (output) + (text "aleb" (rect 0 0 25 13)(font "Arial" (font_size 8))) + (text "aleb" (rect 89 50 114 63)(font "Arial" (font_size 8))) + (line (pt 128 56)(pt 112 56)) + ) + (drawing + (text "unsigned compare" (rect 35 27 127 38)(font "Arial" )) + (line (pt 16 16)(pt 16 80)) + (line (pt 16 16)(pt 112 16)) + (line (pt 16 80)(pt 112 80)) + (line (pt 112 16)(pt 112 80)) + (line (pt 0 0)(pt 129 0)) + (line (pt 129 0)(pt 129 98)) + (line (pt 0 98)(pt 129 98)) + (line (pt 0 0)(pt 0 98)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + (line (pt 0 0)(pt 0 0)) + ) +) +(symbol + (rect 168 144 216 176) + (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 21 26 32)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(connector + (text "speed[1..0]" (rect 416 297 427 352)(font "Arial" )(vertical)) + (pt 424 296) + (pt 424 272) + (bus) +) +(connector + (text "signal" (rect 896 64 926 75)(font "Arial" )) + (pt 952 72) + (pt 936 72) +) +(connector + (text "signal" (rect 896 80 926 91)(font "Arial" )) + (pt 952 88) + (pt 936 88) +) +(connector + (text "signal" (rect 832 8 862 19)(font "Arial" )) + (pt 824 16) + (pt 808 16) +) +(connector + (text "counter_out[22..0]" (rect 496 -8 585 3)(font "Arial" )) + (pt 488 8) + (pt 536 8) + (bus) +) +(connector + (text "counter_out[22..0]" (rect 584 0 673 11)(font "Arial" )) + (pt 664 8) + (pt 680 8) + (bus) +) +(connector + (text "mux_out[22..0]" (rect 592 16 665 27)(font "Arial" )) + (pt 664 24) + (pt 680 24) + (bus) +) +(connector + (text "s3[22..0]" (rect 296 192 338 203)(font "Arial" )) + (pt 336 200) + (pt 352 200) + (bus) +) +(connector + (text "s2[22..0]" (rect 296 208 338 219)(font "Arial" )) + (pt 336 216) + (pt 352 216) + (bus) +) +(connector + (text "s1[22..0]" (rect 296 224 338 235)(font "Arial" )) + (pt 336 232) + (pt 352 232) + (bus) +) +(connector + (text "s0[22..0]" (rect 296 240 338 251)(font "Arial" )) + (pt 336 248) + (pt 352 248) + (bus) +) +(connector + (text "mux_out[22..0]" (rect 520 216 593 227)(font "Arial" )) + (pt 512 224) + (pt 496 224) + (bus) +) +(connector + (text "s3[22..0]" (rect 472 392 514 403)(font "Arial" )) + (pt 456 400) + (pt 440 400) + (bus) +) +(connector + (text "s2[22..0]" (rect 472 448 514 459)(font "Arial" )) + (pt 456 456) + (pt 440 456) + (bus) +) +(connector + (text "s1[22..0]" (rect 472 504 514 515)(font "Arial" )) + (pt 456 512) + (pt 440 512) + (bus) +) +(connector + (text "s0[22..0]" (rect 472 560 514 571)(font "Arial" )) + (pt 456 568) + (pt 440 568) + (bus) +) +(connector + (text "clk" (rect 304 -8 319 3)(font "Arial" )) + (pt 344 0) + (pt 328 0) +) +(connector + (text "resetn" (rect 120 152 151 163)(font "Arial" )) + (pt 168 160) + (pt 152 160) +) +(connector + (text "reset" (rect 240 152 265 163)(font "Arial" )) + (pt 216 160) + (pt 232 160) +) +(connector + (text "reset" (rect 416 74 427 99)(font "Arial" )(vertical)) + (pt 424 48) + (pt 424 64) +) -- cgit v1.2.3