From 4762ef9b7238f67d065775b752ebf51289c1f437 Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Sun, 15 Jun 2014 15:28:10 +0200 Subject: Clean project --- FPGA/top/top.qsf | 54 +++++++++++++++--------------------------------------- 1 file changed, 15 insertions(+), 39 deletions(-) (limited to 'FPGA/top/top.qsf') diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf index 7f40654..2a07bb8 100644 --- a/FPGA/top/top.qsf +++ b/FPGA/top/top.qsf @@ -143,60 +143,36 @@ set_location_assignment PIN_A6 -to i2c_sclk set_location_assignment PIN_B6 -to i2c_sdat set_location_assignment PIN_AE22 -to end_config set_location_assignment PIN_M25 -to xti_mclk -set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp -set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd -set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf -set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd -set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd -set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant_hex000000.qip -set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant0.qip -set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip -set_global_assignment -name QIP_FILE ../display/lpm_counter0.qip +set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd +set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd +set_global_assignment -name VHDL_FILE ../vhdl/message.vhd +set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd +set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd +set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd +set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd +set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd +set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd +set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd +set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd +set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip +set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip +set_global_assignment -name QIP_FILE ../display/lpm_constant_1.qip set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip +set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip -set_global_assignment -name QIP_FILE ../pwm/lpm_counter0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip set_global_assignment -name BDF_FILE ../display/useless.bdf -set_global_assignment -name BDF_FILE sound_gene.bdf -set_global_assignment -name BDF_FILE codec_clock.bdf set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf set_global_assignment -name BDF_FILE ../pwm/pwm.bdf -set_global_assignment -name BSF_FILE pwm.bsf -set_global_assignment -name BSF_FILE lpm_mux0.bsf -set_global_assignment -name BSF_FILE lpm_counter1.bsf -set_global_assignment -name BSF_FILE lpm_counter0.bsf -set_global_assignment -name BSF_FILE lpm_constant3.bsf -set_global_assignment -name BSF_FILE lpm_constant2.bsf -set_global_assignment -name BSF_FILE lpm_constant1.bsf -set_global_assignment -name BSF_FILE lpm_constant0.bsf -set_global_assignment -name BSF_FILE lpm_compare0.bsf -set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd -set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd -set_global_assignment -name VHDL_FILE ../vhdl/message.vhd -set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd -set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd -set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd -set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd -set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd -set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd -set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd -set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd set_global_assignment -name BDF_FILE ../commande/fan.bdf set_global_assignment -name BDF_FILE ../commande/alarm.bdf set_global_assignment -name BDF_FILE ../display/display.bdf set_global_assignment -name BDF_FILE ../commande/commande.bdf set_global_assignment -name BDF_FILE top.bdf -set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl -set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl -set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl -set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl -set_global_assignment -name QIP_FILE output_files/lpm_constant00.qip -set_global_assignment -name QIP_FILE output_files/lpm_constant0.qip -set_global_assignment -name QIP_FILE output_files/lpm_constant42.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file -- cgit v1.2.3