From e170ee1dd323f3f99e154bd5daf026fc8f423465 Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Mon, 16 Jun 2014 00:04:05 +0200 Subject: Refactoring --- FPGA/top/top.qsf | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) (limited to 'FPGA/top/top.qsf') diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf index 2a07bb8..b93bd63 100644 --- a/FPGA/top/top.qsf +++ b/FPGA/top/top.qsf @@ -143,6 +143,11 @@ set_location_assignment PIN_A6 -to i2c_sclk set_location_assignment PIN_B6 -to i2c_sdat set_location_assignment PIN_AE22 -to end_config set_location_assignment PIN_M25 -to xti_mclk +set_global_assignment -name QIP_FILE ../display/lpm_constant_2x0.qip +set_global_assignment -name QIP_FILE ../display/lpm_constant_7x1.qip +set_global_assignment -name QIP_FILE ../commande/lpm_constant_00.qip +set_global_assignment -name QIP_FILE ../commande/lpm_compare_00.qip +set_global_assignment -name QIP_FILE ../commande/lpm_constant_11.qip set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd set_global_assignment -name VHDL_FILE ../vhdl/message.vhd @@ -156,8 +161,6 @@ set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip -set_global_assignment -name QIP_FILE ../display/lpm_constant_1.qip -set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip -- cgit v1.2.3