From 70318492f3472ff2ec3b1735cf69a4eef1f6a51d Mon Sep 17 00:00:00 2001 From: Pacien TRAN-GIRARD Date: Fri, 13 Jun 2014 16:06:19 +0200 Subject: Update project --- FPGA/vhdl/codec_config.vhd | 21 +++++++++++---------- 1 file changed, 11 insertions(+), 10 deletions(-) (limited to 'FPGA/vhdl/codec_config.vhd') diff --git a/FPGA/vhdl/codec_config.vhd b/FPGA/vhdl/codec_config.vhd index 2ae71f8..69c661c 100644 --- a/FPGA/vhdl/codec_config.vhd +++ b/FPGA/vhdl/codec_config.vhd @@ -19,16 +19,17 @@ end entity; architecture rtl of codec_config is type t_config is array(natural range 0 to 10) of std_logic_vector(23 downto 0); constant config_data : t_config := - (X"34001A", - X"34021A", - X"34047B", - X"34067B", - X"3408F8", - X"340A06", - X"340C00", - X"340E01", - X"341002", - X"341201", + ( + X"34001A", -- X"34001A", + X"34021A", -- X"34021A", + X"34047F", -- X"34047B", -- headphone left => doit etre on pour mono + X"340600", -- X"34067B", -- headphone right + X"3408F8", -- X"3408F8", + X"340A07", -- X"340A06", + X"340C00", -- X"340C00", -- power on + X"340E01", -- X"340E01", + X"341002", -- X"341002", -- sample control + X"341201", -- X"341201", -- set active X"000000" ); type state is (init, config, finished); -- cgit v1.2.3