/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "symbol" (version "1.2")) (symbol (rect 0 0 112 48) (text "lpm_constant_00" (rect 7 0 127 16)(font "Arial" (font_size 10))) (text "inst" (rect 8 33 25 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[1..0]" (rect 0 0 58 13)(font "Arial" (font_size 8))) (text "0" (rect 87 18 92 30)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "2" (rect 99 27 202 64)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) )