/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect 96 48 272 64) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "fan_auto" (rect 9 0 52 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 48 96 64)) ) (pin (input) (rect 96 64 272 80) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "alarm_user" (rect 9 0 65 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 64 96 80)) ) (pin (input) (rect 96 80 272 96) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 80 96 96)) ) (pin (input) (rect 96 8 272 24) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 96 24 272 40) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) ) (pin (output) (rect 96 112 272 128) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 112 328 128)) ) (pin (output) (rect 96 128 272 144) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 128 328 144)) ) (pin (output) (rect 96 168 272 184) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex4[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 168 328 184)) ) (pin (output) (rect 96 152 272 168) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex5[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 152 328 168)) ) (pin (output) (rect 96 240 272 256) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex0[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 240 336 256)) ) (pin (output) (rect 96 224 272 240) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex1[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 224 328 240)) ) (pin (output) (rect 96 208 272 224) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex2[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 208 336 224)) ) (pin (output) (rect 96 192 272 208) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "hex3[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 272 192 328 208)) ) (symbol (rect 520 488 696 568) (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) (text "fan_speed" (rect 8 64 59 75)(font "Arial" )) (port (pt 0 32) (input) (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter "active_low" "true" "" (type "PARAMETER_ENUM") ) (drawing (rectangle (rect 16 16 160 64)) ) (annotation_block (parameter)(rect 536 456 696 488)) ) (symbol (rect 584 -160 696 -72) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) (text "inst10" (rect 3 77 37 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "7" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect 576 -192 696 -166)) ) (symbol (rect 584 176 696 264) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) (text "inst9" (rect 3 77 30 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "7" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect 576 144 696 170)) ) (symbol (rect 904 408 1048 536) (text "useless" (rect 5 0 48 13)(font "Arial" (font_size 8))) (text "inst2" (rect 8 113 31 124)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 144 32) (output) (text "hex3[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) (text "hex3[6..0]" (rect 67 27 122 40)(font "Arial" (font_size 8))) (line (pt 144 32)(pt 128 32)(line_width 3)) ) (port (pt 144 48) (output) (text "hex2[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) (text "hex2[6..0]" (rect 67 43 122 56)(font "Arial" (font_size 8))) (line (pt 144 48)(pt 128 48)(line_width 3)) ) (port (pt 144 64) (output) (text "hex1[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) (text "hex1[6..0]" (rect 67 59 122 72)(font "Arial" (font_size 8))) (line (pt 144 64)(pt 128 64)(line_width 3)) ) (port (pt 144 80) (output) (text "hex0[6..0]" (rect 0 0 55 13)(font "Arial" (font_size 8))) (text "hex0[6..0]" (rect 67 75 122 88)(font "Arial" (font_size 8))) (line (pt 144 80)(pt 128 80)(line_width 3)) ) (drawing (rectangle (rect 16 16 128 112)) ) ) (symbol (rect 424 -280 536 -232) (text "lpm_constant_a" (rect 10 0 120 16)(font "Arial" (font_size 10))) (text "const_a" (rect 8 33 46 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[3..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) (text "10" (rect 81 18 95 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "4" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 424 56 536 104) (text "lpm_constant_f" (rect 12 0 120 16)(font "Arial" (font_size 10))) (text "const_f" (rect 8 33 44 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[3..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) (text "15" (rect 81 18 95 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "4" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 96 280 208 328) (text "lpm_constant_7x1" (rect 3 0 131 16)(font "Arial" (font_size 10))) (text "const_1" (rect 8 33 46 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[6..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) (text "127" (rect 75 18 96 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "7" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 552 -288 728 -208) (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) (text "dec_a" (rect 8 64 38 75)(font "Arial" )) (port (pt 0 32) (input) (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter "active_low" "true" "" (type "PARAMETER_ENUM") ) (drawing (rectangle (rect 16 16 160 64)) ) (annotation_block (parameter)(rect 568 -320 728 -288)) ) (symbol (rect 552 48 728 128) (text "seven_segment_decoder" (rect 5 0 130 11)(font "Arial" )) (text "dec_f" (rect 8 64 35 75)(font "Arial" )) (port (pt 0 32) (input) (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter "active_low" "true" "" (type "PARAMETER_ENUM") ) (drawing (rectangle (rect 16 16 160 64)) ) (annotation_block (parameter)(rect 568 16 728 48)) ) (symbol (rect 552 384 664 432) (text "lpm_constant_2x0" (rect 3 0 131 16)(font "Arial" (font_size 10))) (text "inst" (rect 8 33 25 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[1..0]" (rect 0 0 59 13)(font "Arial" (font_size 8))) (text "0" (rect 87 18 94 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "2" (rect 99 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (connector (text "hexc_disable[6..0]" (rect 8 144 97 155)(font "Arial" )) (pt 8 160) (pt 96 160) (bus) ) (connector (text "hexc_disable[6..0]" (rect 216 288 305 299)(font "Arial" )) (pt 208 304) (pt 224 304) (bus) ) (connector (text "hexc_f[6..0]" (rect 736 64 793 75)(font "Arial" )) (pt 728 80) (pt 744 80) (bus) ) (connector (text "hex6[6..0]" (rect 704 208 752 219)(font "Arial" )) (pt 696 224) (pt 712 224) (bus) ) (connector (text "hexc_disable[6..0]" (rect 504 192 593 203)(font "Arial" )) (pt 584 208) (pt 496 208) (bus) ) (connector (text "hexc_f[6..0]" (rect 504 224 561 235)(font "Arial" )) (pt 584 240) (pt 496 240) (bus) ) (connector (pt 552 80) (pt 536 80) (bus) ) (connector (text "hex4[6..0]" (rect 704 504 752 515)(font "Arial" )) (pt 696 520) (pt 712 520) (bus) ) (connector (text "speed[3..0]" (rect 448 512 503 523)(font "Arial" )) (pt 504 520) (pt 520 520) (bus) ) (connector (text "speed[3..2]" (rect 672 392 727 403)(font "Arial" )) (pt 680 408) (pt 664 408) (bus) ) (connector (text "fan_auto" (rect 624 267 635 310)(font "Arial" )(vertical)) (pt 640 264) (pt 640 320) ) (connector (text "clk" (rect 856 424 870 435)(font "Arial" )) (pt 848 440) (pt 904 440) ) (connector (text "resetn" (rect 856 440 886 451)(font "Arial" )) (pt 848 456) (pt 904 456) ) (connector (text "hex3[6..0]" (rect 1056 424 1104 435)(font "Arial" )) (pt 1048 440) (pt 1096 440) (bus) ) (connector (text "hex2[6..0]" (rect 1056 440 1104 451)(font "Arial" )) (pt 1048 456) (pt 1096 456) (bus) ) (connector (text "hex1[6..0]" (rect 1056 456 1104 467)(font "Arial" )) (pt 1048 472) (pt 1096 472) (bus) ) (connector (text "hex0[6..0]" (rect 1056 472 1104 483)(font "Arial" )) (pt 1048 488) (pt 1096 488) (bus) ) (connector (text "hexc_disable[6..0]" (rect 504 -144 593 -133)(font "Arial" )) (pt 584 -128) (pt 496 -128) (bus) ) (connector (text "hexc_a[6..0]" (rect 504 -112 564 -101)(font "Arial" )) (pt 584 -96) (pt 496 -96) (bus) ) (connector (text "hex7[6..0]" (rect 704 -128 752 -117)(font "Arial" )) (pt 696 -112) (pt 712 -112) (bus) ) (connector (pt 536 -256) (pt 552 -256) (bus) ) (connector (text "hexc_a[6..0]" (rect 737 -272 797 -261)(font "Arial" )) (pt 728 -256) (pt 744 -256) (bus) ) (connector (text "alarm_user" (rect 624 -72 635 -16)(font "Arial" )(vertical)) (pt 640 -72) (pt 640 -8) )