/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect 96 48 272 64) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "fan_auto" (rect 9 0 53 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 64 96 80)) ) (pin (input) (rect 96 64 272 80) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "alarm_user" (rect 9 0 66 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 80 96 96)) ) (pin (input) (rect 96 80 272 96) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 24 96 96 112)) ) (pin (input) (rect 104 8 280 24) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "clk" (rect 9 0 24 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) ) (pin (input) (rect 104 24 280 40) (text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6))) (text "resetn" (rect 9 0 40 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6))) ) (pin (output) (rect 760 48 936 64) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex7[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 64 992 80)) ) (pin (output) (rect 760 64 936 80) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex6[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 80 992 96)) ) (pin (output) (rect 760 104 936 120) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex4[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 120 992 136)) ) (pin (output) (rect 760 88 936 104) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex5[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 104 992 120)) ) (pin (output) (rect 760 176 936 192) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex0[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 192 1000 208)) ) (pin (output) (rect 760 160 936 176) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex1[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 176 1000 192)) ) (pin (output) (rect 760 144 936 160) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex2[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 160 1008 176)) ) (pin (output) (rect 760 128 936 144) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "hex3[6..0]" (rect 90 0 138 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 936 144 1000 160)) ) (symbol (rect 216 544 248 576) (text "GND" (rect 8 16 30 26)(font "Arial" (font_size 6))) (text "inst1" (rect 3 21 26 32)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 25 11)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 392 496 568 576) (text "seven_segment_decoder" (rect 5 0 131 11)(font "Arial" )) (text "fan_speed" (rect 8 64 61 75)(font "Arial" )) (port (pt 0 32) (input) (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter "active_low" "true" "" (type "PARAMETER_ENUM") ) (drawing (rectangle (rect 16 16 160 64)) ) (annotation_block (parameter)(rect 568 464 728 496)) ) (symbol (rect 392 624 568 704) (text "seven_segment_decoder" (rect 5 0 131 11)(font "Arial" )) (text "a" (rect 8 64 16 75)(font "Arial" )) (port (pt 0 32) (input) (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter "active_low" "true" "" (type "PARAMETER_ENUM") ) (drawing (rectangle (rect 16 16 160 64)) ) (annotation_block (parameter)(rect 568 592 728 624)) ) (symbol (rect 392 752 568 832) (text "seven_segment_decoder" (rect 5 0 131 11)(font "Arial" )) (text "f" (rect 8 64 12 75)(font "Arial" )) (port (pt 0 32) (input) (text "hexa[3..0]" (rect 0 0 48 11)(font "Arial" )) (text "hexa[3..0]" (rect 21 27 69 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 176 32) (output) (text "hex[6..0]" (rect 0 0 42 11)(font "Arial" )) (text "hex[6..0]" (rect 120 27 162 38)(font "Arial" )) (line (pt 176 32)(pt 160 32)(line_width 3)) ) (parameter "active_low" "true" "" (type "PARAMETER_ENUM") ) (drawing (rectangle (rect 16 16 160 64)) ) (annotation_block (parameter)(rect 568 720 728 752)) ) (symbol (rect 424 320 536 408) (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) (text "inst10" (rect 3 77 38 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "7" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect 536 288 656 314)) ) (symbol (rect 424 152 536 240) (text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10))) (text "inst9" (rect 3 77 31 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "7" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 60 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect 536 120 656 146)) ) (symbol (rect 264 760 376 808) (text "lpm_constant_f" (rect 12 0 121 16)(font "Arial" (font_size 10))) (text "inst21" (rect 8 33 37 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[3..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) (text "15" (rect 81 18 96 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "4" (rect 99 27 107 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 424 48 536 96) (text "lpm_constant_1" (rect 10 0 120 16)(font "Arial" (font_size 10))) (text "inst" (rect 8 33 26 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[6..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) (text "127" (rect 75 18 97 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "7" (rect 99 27 107 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 688 -112 800 -64) (text "lpm_constant7nada" (rect 1 0 140 16)(font "Arial" (font_size 10))) (text "inst3" (rect 8 33 32 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[6..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) (text "127" (rect 75 18 97 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "7" (rect 99 27 107 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 392 -144 536 -16) (text "useless" (rect 5 0 49 13)(font "Arial" (font_size 8))) (text "inst2" (rect 8 113 32 124)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 18 13)(font "Arial" (font_size 8))) (text "clk" (rect 21 27 39 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "resetn" (rect 0 0 36 13)(font "Arial" (font_size 8))) (text "resetn" (rect 21 43 57 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 144 32) (output) (text "hex3[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) (text "hex3[6..0]" (rect 67 27 123 40)(font "Arial" (font_size 8))) (line (pt 144 32)(pt 128 32)(line_width 3)) ) (port (pt 144 48) (output) (text "hex2[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) (text "hex2[6..0]" (rect 67 43 123 56)(font "Arial" (font_size 8))) (line (pt 144 48)(pt 128 48)(line_width 3)) ) (port (pt 144 64) (output) (text "hex1[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) (text "hex1[6..0]" (rect 67 59 123 72)(font "Arial" (font_size 8))) (line (pt 144 64)(pt 128 64)(line_width 3)) ) (port (pt 144 80) (output) (text "hex0[6..0]" (rect 0 0 56 13)(font "Arial" (font_size 8))) (text "hex0[6..0]" (rect 67 75 123 88)(font "Arial" (font_size 8))) (line (pt 144 80)(pt 128 80)(line_width 3)) ) (drawing (rectangle (rect 16 16 128 112)) ) ) (symbol (rect 264 632 376 680) (text "lpm_constant_a" (rect 10 0 122 16)(font "Arial" (font_size 10))) (text "inst20" (rect 8 33 38 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[3..0]" (rect 0 0 60 13)(font "Arial" (font_size 8))) (text "10" (rect 81 18 96 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "4" (rect 99 27 107 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (connector (text "hex4[6..0]" (rect 576 512 624 523)(font "Arial" )) (pt 568 528) (pt 584 528) (bus) ) (connector (text "speed[2]" (rect 257 504 299 515)(font "Arial" )) (pt 232 512) (pt 248 512) ) (connector (text "speed[3]" (rect 256 520 298 531)(font "Arial" )) (pt 232 528) (pt 248 528) ) (connector (text "hex_f[6..0]" (rect 576 768 627 779)(font "Arial" )) (pt 568 784) (pt 584 784) (bus) ) (connector (text "alarm_user" (rect 464 408 475 465)(font "Arial" )(vertical)) (pt 480 408) (pt 480 472) ) (connector (text "fan_auto" (rect 464 243 475 287)(font "Arial" )(vertical)) (pt 480 240) (pt 480 296) ) (connector (text "speed[3..0]" (rect 320 520 375 531)(font "Arial" )) (pt 376 528) (pt 392 528) (bus) ) (connector (text "hex_f[6..0]" (rect 368 200 419 211)(font "Arial" )) (pt 360 216) (pt 424 216) (bus) ) (connector (text "nada[6..0]" (rect 368 168 417 179)(font "Arial" )) (pt 360 184) (pt 424 184) (bus) ) (connector (text "hex_a[6..0]" (rect 368 368 423 379)(font "Arial" )) (pt 360 384) (pt 424 384) (bus) ) (connector (text "nada[6..0]" (rect 368 336 417 347)(font "Arial" )) (pt 360 352) (pt 424 352) (bus) ) (connector (text "hex_a[6..0]" (rect 577 640 632 651)(font "Arial" )) (pt 568 656) (pt 584 656) (bus) ) (connector (text "hex6[6..0]" (rect 544 184 592 195)(font "Arial" )) (pt 536 200) (pt 552 200) (bus) ) (connector (text "hex7[6..0]" (rect 544 352 592 363)(font "Arial" )) (pt 536 368) (pt 552 368) (bus) ) (connector (pt 392 784) (pt 376 784) (bus) ) (connector (pt 376 656) (pt 392 656) (bus) ) (connector (text "nada[6..0]" (rect 544 56 593 67)(font "Arial" )) (pt 552 72) (pt 536 72) (bus) ) (connector (pt 232 512) (pt 232 528) ) (connector (pt 232 528) (pt 232 544) ) (connector (text "hex3[6..0]" (rect 544 -128 592 -117)(font "Arial" )) (pt 536 -112) (pt 584 -112) (bus) ) (connector (text "hex2[6..0]" (rect 544 -112 592 -101)(font "Arial" )) (pt 536 -96) (pt 584 -96) (bus) ) (connector (text "hex1[6..0]" (rect 544 -96 592 -85)(font "Arial" )) (pt 536 -80) (pt 584 -80) (bus) ) (connector (text "hex0[6..0]" (rect 544 -80 592 -69)(font "Arial" )) (pt 536 -64) (pt 584 -64) (bus) ) (connector (text "clk" (rect 344 -128 359 -117)(font "Arial" )) (pt 392 -112) (pt 336 -112) ) (connector (text "resetn" (rect 344 -112 375 -101)(font "Arial" )) (pt 392 -96) (pt 336 -96) ) (connector (text "hex5[6..0]" (rect 808 -104 856 -93)(font "Arial" )) (pt 800 -88) (pt 872 -88) (bus) ) (junction (pt 232 528))