/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect -144 64 32 80) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -200 64 -144 80)) ) (pin (input) (rect -144 80 32 96) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -208 80 -144 96)) ) (pin (input) (rect -144 96 32 112) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "speed[1..0]" (rect 9 0 64 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect -208 96 -144 112)) ) (pin (output) (rect -136 128 40 144) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "fan" (rect 90 0 105 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 40 128 104 144)) ) (pin (output) (rect -136 144 40 160) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "led_fan" (rect 90 0 126 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 40 144 104 160)) ) (symbol (rect 664 152 808 264) (text "lpm_mux0" (rect 43 0 116 16)(font "Arial" (font_size 10))) (text "inst" (rect 8 97 25 108)(font "Arial" )) (port (pt 0 40) (input) (text "data3x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) (text "data3x[22..0]" (rect 4 27 77 40)(font "Arial" (font_size 8))) (line (pt 0 40)(pt 64 40)(line_width 3)) ) (port (pt 0 56) (input) (text "data2x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) (text "data2x[22..0]" (rect 4 43 77 56)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 64 56)(line_width 3)) ) (port (pt 0 72) (input) (text "data1x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) (text "data1x[22..0]" (rect 4 59 77 72)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 64 72)(line_width 3)) ) (port (pt 0 88) (input) (text "data0x[22..0]" (rect 0 0 73 13)(font "Arial" (font_size 8))) (text "data0x[22..0]" (rect 4 75 77 88)(font "Arial" (font_size 8))) (line (pt 0 88)(pt 64 88)(line_width 3)) ) (port (pt 72 112) (input) (text "sel[1..0]" (rect 0 0 13 44)(font "Arial" (font_size 8))(vertical)) (text "sel[1..0]" (rect 66 57 79 101)(font "Arial" (font_size 8))(vertical)) (line (pt 72 112)(pt 72 100)(line_width 3)) ) (port (pt 144 64) (output) (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) (text "result[22..0]" (rect 85 51 151 64)(font "Arial" (font_size 8))) (line (pt 144 64)(pt 80 64)(line_width 3)) ) (drawing (line (pt 64 24)(pt 64 104)) (line (pt 64 24)(pt 80 32)) (line (pt 64 104)(pt 80 96)) (line (pt 80 32)(pt 80 96)) (line (pt 0 0)(pt 146 0)) (line (pt 146 0)(pt 146 114)) (line (pt 0 114)(pt 146 114)) (line (pt 0 0)(pt 0 114)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 360 296 472 344) (text "lpm_constant0" (rect 14 0 116 16)(font "Arial" (font_size 10))) (text "inst3" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) (text "0" (rect 87 18 94 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 360 240 472 288) (text "lpm_constant1" (rect 14 0 116 16)(font "Arial" (font_size 10))) (text "inst5" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) (text "2500000" (rect 52 18 101 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 360 184 472 232) (text "lpm_constant2" (rect 14 0 116 16)(font "Arial" (font_size 10))) (text "inst6" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) (text "3750000" (rect 52 18 101 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 360 128 472 176) (text "lpm_constant3" (rect 14 0 116 16)(font "Arial" (font_size 10))) (text "inst7" (rect 8 33 31 44)(font "Arial" )) (port (pt 112 24) (output) (text "result[22..0]" (rect 0 0 66 13)(font "Arial" (font_size 8))) (text "4500000" (rect 52 18 101 31)(font "Arial" (font_size 8))) (line (pt 112 24)(pt 96 24)(line_width 3)) ) (drawing (text "23" (rect 94 27 106 38)(font "Arial" )) (line (pt 106 20)(pt 98 28)) (line (pt 16 16)(pt 16 32)) (line (pt 16 16)(pt 96 16)) (line (pt 16 32)(pt 96 32)) (line (pt 96 16)(pt 96 32)) (line (pt 0 0)(pt 114 0)) (line (pt 114 0)(pt 114 50)) (line (pt 0 50)(pt 114 50)) (line (pt 0 0)(pt 0 50)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 344 -32 488 48) (text "lpm_counter1" (rect 33 0 128 16)(font "Arial" (font_size 10))) (text "inst8" (rect 8 65 31 76)(font "Arial" )) (port (pt 0 32) (input) (text "clock" (rect 0 0 30 13)(font "Arial" (font_size 8))) (text "clock" (rect 26 26 56 39)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 80 80) (input) (text "aclr" (rect 0 0 13 21)(font "Arial" (font_size 8))(vertical)) (text "aclr" (rect 74 41 87 62)(font "Arial" (font_size 8))(vertical)) (line (pt 80 80)(pt 80 64)) ) (port (pt 144 40) (output) (text "q[22..0]" (rect 0 0 42 13)(font "Arial" (font_size 8))) (text "q[22..0]" (rect 89 34 131 47)(font "Arial" (font_size 8))) (line (pt 144 40)(pt 128 40)(line_width 3)) ) (drawing (text "modulus 5000000" (rect 54 31 142 42)(font "Arial" )) (text "up counter" (rect 84 23 138 34)(font "Arial" )) (line (pt 16 16)(pt 16 64)) (line (pt 16 16)(pt 128 16)) (line (pt 16 64)(pt 128 64)) (line (pt 128 16)(pt 128 64)) (line (pt 0 0)(pt 146 0)) (line (pt 146 0)(pt 146 82)) (line (pt 0 82)(pt 146 82)) (line (pt 0 0)(pt 0 82)) (line (pt 16 26)(pt 22 32)) (line (pt 22 32)(pt 16 38)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 680 -40 808 56) (text "lpm_compare0" (rect 20 0 125 16)(font "Arial" (font_size 10))) (text "inst9" (rect 8 81 31 92)(font "Arial" )) (port (pt 0 48) (input) (text "dataa[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) (text "dataa[22..0]" (rect 20 42 87 55)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port (pt 0 64) (input) (text "datab[22..0]" (rect 0 0 67 13)(font "Arial" (font_size 8))) (text "datab[22..0]" (rect 20 58 87 71)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port (pt 128 56) (output) (text "aleb" (rect 0 0 24 13)(font "Arial" (font_size 8))) (text "aleb" (rect 89 50 113 63)(font "Arial" (font_size 8))) (line (pt 128 56)(pt 112 56)) ) (drawing (text "unsigned compare" (rect 35 27 125 38)(font "Arial" )) (line (pt 16 16)(pt 16 80)) (line (pt 16 16)(pt 112 16)) (line (pt 16 80)(pt 112 80)) (line (pt 112 16)(pt 112 80)) (line (pt 0 0)(pt 129 0)) (line (pt 129 0)(pt 129 98)) (line (pt 0 98)(pt 129 98)) (line (pt 0 0)(pt 0 98)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) (line (pt 0 0)(pt 0 0)) ) ) (symbol (rect 576 80 624 112) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 21 26 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (connector (text "signal" (rect 832 8 861 19)(font "Arial" )) (pt 824 16) (pt 808 16) ) (connector (text "counter_out[22..0]" (rect 496 -8 585 3)(font "Arial" )) (pt 488 8) (pt 536 8) (bus) ) (connector (text "counter_out[22..0]" (rect 584 0 673 11)(font "Arial" )) (pt 664 8) (pt 680 8) (bus) ) (connector (text "mux_out[22..0]" (rect 592 16 665 27)(font "Arial" )) (pt 664 24) (pt 680 24) (bus) ) (connector (text "clk" (rect 304 -8 318 3)(font "Arial" )) (pt 344 0) (pt 328 0) ) (connector (text "reset" (rect 416 74 427 98)(font "Arial" )(vertical)) (pt 424 48) (pt 424 64) ) (connector (text "signal" (rect -192 128 -163 139)(font "Arial" )) (pt -152 136) (pt -136 136) ) (connector (text "signal" (rect -192 144 -163 155)(font "Arial" )) (pt -152 152) (pt -136 152) ) (connector (text "s3[22..0]" (rect 504 144 546 155)(font "Arial" )) (pt 488 152) (pt 472 152) (bus) ) (connector (text "s2[22..0]" (rect 504 200 546 211)(font "Arial" )) (pt 488 208) (pt 472 208) (bus) ) (connector (text "s1[22..0]" (rect 504 256 546 267)(font "Arial" )) (pt 488 264) (pt 472 264) (bus) ) (connector (text "s0[22..0]" (rect 504 312 546 323)(font "Arial" )) (pt 488 320) (pt 472 320) (bus) ) (connector (text "s3[22..0]" (rect 608 184 650 195)(font "Arial" )) (pt 648 192) (pt 664 192) (bus) ) (connector (text "s2[22..0]" (rect 608 200 650 211)(font "Arial" )) (pt 648 208) (pt 664 208) (bus) ) (connector (text "s1[22..0]" (rect 608 216 650 227)(font "Arial" )) (pt 648 224) (pt 664 224) (bus) ) (connector (text "s0[22..0]" (rect 608 232 650 243)(font "Arial" )) (pt 648 240) (pt 664 240) (bus) ) (connector (text "mux_out[22..0]" (rect 832 208 905 219)(font "Arial" )) (pt 824 216) (pt 808 216) (bus) ) (connector (text "speed[1..0]" (rect 728 289 739 344)(font "Arial" )(vertical)) (pt 736 264) (pt 736 288) (bus) ) (connector (text "reset" (rect 648 88 672 99)(font "Arial" )) (pt 624 96) (pt 640 96) ) (connector (text "resetn" (rect 528 88 558 99)(font "Arial" )) (pt 560 96) (pt 576 96) )