/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect 88 56 264 72) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "clk" (rect 9 0 23 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 32 56 88 72)) ) (pin (input) (rect 88 72 264 88) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "resetn" (rect 9 0 39 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 24 72 88 88)) ) (pin (input) (rect 88 88 264 104) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "alarm" (rect 9 0 37 11)(font "Arial" )) (pt 176 8) (drawing (line (pt 92 12)(pt 117 12)) (line (pt 92 4)(pt 117 4)) (line (pt 121 8)(pt 176 8)) (line (pt 92 12)(pt 92 4)) (line (pt 117 4)(pt 121 8)) (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 24 88 88 104)) ) (pin (output) (rect 88 120 264 136) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "end_config" (rect 90 0 144 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 120 328 136)) ) (pin (output) (rect 88 152 264 168) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "i2c_sclk" (rect 90 0 130 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 152 320 168)) ) (pin (output) (rect 88 168 264 184) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "aud_bclk" (rect 90 0 134 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 168 320 184)) ) (pin (output) (rect 88 184 264 200) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "aud_dacdat" (rect 90 0 147 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 184 320 200)) ) (pin (output) (rect 88 200 264 216) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "aud_daclrck" (rect 90 0 150 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 200 320 216)) ) (pin (output) (rect 88 216 264 232) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "aud_xck" (rect 90 0 131 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 216 320 232)) ) (pin (output) (rect 88 232 264 248) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "xti_mclk" (rect 90 0 130 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 264 232 328 248)) ) (pin (output) (rect 88 248 264 264) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "end_tempo" (rect 90 0 145 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) ) (pin (bidir) (rect 88 136 264 152) (text "BIDIR" (rect 1 0 26 10)(font "Arial" (font_size 6))) (text "i2c_sdat" (rect 90 0 131 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 56 4)(pt 78 4)) (line (pt 0 8)(pt 52 8)) (line (pt 56 12)(pt 78 12)) (line (pt 78 4)(pt 82 8)) (line (pt 78 12)(pt 82 8)) (line (pt 56 4)(pt 52 8)) (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 264 136 320 152)) ) (symbol (rect 536 -56 744 56) (text "dds_sinus" (rect 5 0 54 11)(font "Arial" )) (text "inst2" (rect 8 96 31 107)(font "Arial" )) (port (pt 0 32) (input) (text "resetn" (rect 0 0 30 11)(font "Arial" )) (text "resetn" (rect 21 27 51 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "clk" (rect 0 0 14 11)(font "Arial" )) (text "clk" (rect 21 43 35 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "en" (rect 0 0 12 11)(font "Arial" )) (text "en" (rect 21 59 33 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 208 32) (output) (text "dds_out[n_data-1..0]" (rect 0 0 101 11)(font "Arial" )) (text "dds_out[n_data-1..0]" (rect 102 27 203 38)(font "Arial" )) (line (pt 208 32)(pt 192 32)(line_width 3)) ) (parameter "N_data" "16" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "M" "12" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 192 96)) ) (annotation_block (parameter)(rect 568 -96 744 -56)) ) (symbol (rect 512 264 768 408) (text "codec_dac" (rect 5 0 59 11)(font "Arial" )) (text "inst3" (rect 8 128 31 139)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 14 11)(font "Arial" )) (text "clk" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "resetn" (rect 0 0 30 11)(font "Arial" )) (text "resetn" (rect 21 43 51 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "go" (rect 0 0 12 11)(font "Arial" )) (text "go" (rect 21 59 33 70)(font "Arial" )) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "data_in[data_width-1..0]" (rect 0 0 118 11)(font "Arial" )) (text "data_in[data_width-1..0]" (rect 21 75 139 86)(font "Arial" )) (line (pt 0 80)(pt 16 80)(line_width 3)) ) (port (pt 256 32) (output) (text "tempo_dac" (rect 0 0 55 11)(font "Arial" )) (text "tempo_dac" (rect 189 27 244 38)(font "Arial" )) (line (pt 256 32)(pt 240 32)) ) (port (pt 256 48) (output) (text "end_dac" (rect 0 0 42 11)(font "Arial" )) (text "end_dac" (rect 200 43 242 54)(font "Arial" )) (line (pt 256 48)(pt 240 48)) ) (port (pt 256 64) (output) (text "codec_dac_bclk" (rect 0 0 79 11)(font "Arial" )) (text "codec_dac_bclk" (rect 169 59 248 70)(font "Arial" )) (line (pt 256 64)(pt 240 64)) ) (port (pt 256 80) (output) (text "codec_dac_data" (rect 0 0 81 11)(font "Arial" )) (text "codec_dac_data" (rect 167 75 248 86)(font "Arial" )) (line (pt 256 80)(pt 240 80)) ) (port (pt 256 96) (output) (text "codec_dac_lrck" (rect 0 0 76 11)(font "Arial" )) (text "codec_dac_lrck" (rect 171 91 247 102)(font "Arial" )) (line (pt 256 96)(pt 240 96)) ) (parameter "system_frequency" "50000000.0" "" (type "PARAMETER_SIGNED_FLOAT") ) (parameter "sample_rate" "48000.0" "" (type "PARAMETER_SIGNED_FLOAT") ) (parameter "data_width" "16" "" (type "PARAMETER_SIGNED_DEC") ) (parameter "channel_num" "2" "" (type "PARAMETER_SIGNED_DEC") ) (drawing (rectangle (rect 16 16 240 128)) ) (annotation_block (parameter)(rect 520 192 768 264)) ) (symbol (rect 560 472 728 584) (text "codec_config" (rect 5 0 69 11)(font "Arial" )) (text "inst4" (rect 8 96 31 107)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 14 11)(font "Arial" )) (text "clk" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "resetn" (rect 0 0 30 11)(font "Arial" )) (text "resetn" (rect 21 43 51 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 168 32) (output) (text "end_config" (rect 0 0 54 11)(font "Arial" )) (text "end_config" (rect 102 27 156 38)(font "Arial" )) (line (pt 168 32)(pt 152 32)) ) (port (pt 168 48) (output) (text "i2c_scl" (rect 0 0 34 11)(font "Arial" )) (text "i2c_scl" (rect 119 43 153 54)(font "Arial" )) (line (pt 168 48)(pt 152 48)) ) (port (pt 168 64) (bidir) (text "i2c_sda" (rect 0 0 38 11)(font "Arial" )) (text "i2c_sda" (rect 117 59 155 70)(font "Arial" )) (line (pt 168 64)(pt 152 64)) ) (parameter "system_frequency" "50000000.0" "" (type "PARAMETER_SIGNED_FLOAT") ) (parameter "i2c_rate" "20000.0" "" (type "PARAMETER_SIGNED_FLOAT") ) (drawing (rectangle (rect 16 16 152 96)) ) (annotation_block (parameter)(rect 536 432 728 472)) ) (symbol (rect 544 -400 704 -320) (text "clock_divider" (rect 5 0 69 11)(font "Arial" )) (text "inst9" (rect 8 64 31 75)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 14 11)(font "Arial" )) (text "clk" (rect 21 27 35 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "resetn" (rect 0 0 30 11)(font "Arial" )) (text "resetn" (rect 21 43 51 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)) ) (port (pt 160 32) (output) (text "en_user" (rect 0 0 41 11)(font "Arial" )) (text "en_user" (rect 105 27 146 38)(font "Arial" )) (line (pt 160 32)(pt 144 32)) ) (parameter "board_frequency" "50000000.0" "" (type "PARAMETER_SIGNED_FLOAT") ) (parameter "user_frequency" "2" "" (type "PARAMETER_SIGNED_FLOAT") ) (drawing (rectangle (rect 16 16 144 64)) ) (annotation_block (parameter)(rect 520 -440 705 -401)) ) (symbol (rect 608 128 656 160) (text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst5" (rect 3 21 26 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (line (pt 32 16)(pt 48 16)) ) (drawing (line (pt 14 7)(pt 32 16)) (line (pt 14 25)(pt 14 7)) (line (pt 14 25)(pt 32 16)) ) ) (symbol (rect 864 -144 928 -96) (text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 37 26 48)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 872 -368 936 -288) (text "DFFE" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst10" (rect 3 68 32 79)(font "Arial" )) (port (pt 32 0) (input) (text "PRN" (rect 24 13 44 24)(font "Courier New" (bold))) (text "PRN" (rect 24 11 44 22)(font "Courier New" (bold))) (line (pt 32 4)(pt 32 0)) ) (port (pt 32 80) (input) (text "CLRN" (rect 21 59 48 70)(font "Courier New" (bold))) (text "CLRN" (rect 21 58 48 69)(font "Courier New" (bold))) (line (pt 32 80)(pt 32 76)) ) (port (pt 0 24) (input) (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) (text "D" (rect 14 20 21 31)(font "Courier New" (bold))) (line (pt 0 24)(pt 12 24)) ) (port (pt 0 40) (input) (text "CLK" (rect 2 28 22 39)(font "Courier New" (bold))(invisible)) (text "CLK" (rect 2 28 22 39)(font "Courier New" (bold))(invisible)) (line (pt 0 40)(pt 12 40)) ) (port (pt 0 56) (input) (text "ENA" (rect 14 50 34 61)(font "Courier New" (bold))) (text "ENA" (rect 14 50 34 61)(font "Courier New" (bold))) (line (pt 0 56)(pt 12 56)) ) (port (pt 64 24) (output) (text "Q" (rect 44 20 51 31)(font "Courier New" (bold))) (text "Q" (rect 43 20 50 31)(font "Courier New" (bold))) (line (pt 53 24)(pt 64 24)) ) (drawing (line (pt 12 68)(pt 52 68)) (line (pt 12 12)(pt 52 12)) (line (pt 52 68)(pt 52 12)) (line (pt 12 68)(pt 12 12)) (line (pt 12 34)(pt 19 41)) (line (pt 18 41)(pt 12 47)) (circle (rect 28 4 36 12)) (circle (rect 28 68 36 76)) ) ) (symbol (rect 880 -424 928 -392) (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) (text "inst12" (rect 3 21 32 32)(font "Arial" )) (port (pt 0 16) (input) (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 13 16)) ) (port (pt 48 16) (output) (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing (line (pt 13 25)(pt 13 7)) (line (pt 13 7)(pt 31 16)) (line (pt 13 25)(pt 31 16)) (circle (rect 31 12 39 20)) ) ) (symbol (rect 568 -256 680 -168) (text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10))) (text "inst8" (rect 3 77 30 90)(font "Arial" (font_size 8))) (port (pt 0 64) (input) (text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8))) (text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 44 64)(line_width 3)) ) (port (pt 56 88) (input) (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8))) (line (pt 56 88)(pt 56 72)) ) (port (pt 0 32) (input) (text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8))) (text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 44 32)(line_width 3)) ) (port (pt 112 48) (output) (text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8))) (text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8))) (line (pt 68 48)(pt 112 48)(line_width 3)) ) (parameter "WIDTH" "1" "Width of I/O, any integer > 0" " 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" ) (drawing (text "0" (rect 52 31 59 41)(font "Arial" (font_size 6))) (text "1" (rect 52 55 57 65)(font "Arial" (font_size 6))) (line (pt 68 64)(pt 68 32)) (line (pt 44 80)(pt 44 16)) (line (pt 44 16)(pt 68 32)) (line (pt 44 80)(pt 68 64)) ) (annotation_block (parameter)(rect 560 -280 683 -254)) ) (symbol (rect 544 -568 696 -472) (text "codec_clock" (rect 5 0 75 13)(font "Arial" (font_size 8))) (text "inst6" (rect 8 81 31 92)(font "Arial" )) (port (pt 0 32) (input) (text "clk" (rect 0 0 17 13)(font "Arial" (font_size 8))) (text "clk" (rect 21 27 38 40)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "resetn" (rect 0 0 35 13)(font "Arial" (font_size 8))) (text "resetn" (rect 21 43 56 56)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 152 32) (output) (text "xti_mclk_a" (rect 0 0 60 13)(font "Arial" (font_size 8))) (text "xti_mclk_a" (rect 71 27 131 40)(font "Arial" (font_size 8))) (line (pt 152 32)(pt 136 32)) ) (port (pt 152 48) (output) (text "xti_mclk_b" (rect 0 0 60 13)(font "Arial" (font_size 8))) (text "xti_mclk_b" (rect 71 43 131 56)(font "Arial" (font_size 8))) (line (pt 152 48)(pt 136 48)) ) (drawing (rectangle (rect 16 16 136 80)) ) ) (connector (text "clk" (rect 480 -384 494 -373)(font "Arial" )) (pt 472 -368) (pt 544 -368) ) (connector (text "resetn" (rect 472 -368 502 -357)(font "Arial" )) (pt 472 -352) (pt 544 -352) ) (connector (text "clk" (rect 488 -552 502 -541)(font "Arial" )) (pt 480 -536) (pt 544 -536) ) (connector (text "resetn" (rect 488 -536 518 -525)(font "Arial" )) (pt 480 -520) (pt 544 -520) ) (connector (text "d_ena" (rect 712 -384 742 -373)(font "Arial" )) (pt 704 -368) (pt 768 -368) ) (connector (text "xti_mclk_a" (rect 704 -552 755 -541)(font "Arial" )) (pt 696 -536) (pt 744 -536) ) (connector (text "xti_mclk_b" (rect 704 -536 755 -525)(font "Arial" )) (pt 696 -520) (pt 744 -520) ) (connector (text "end_config" (rect 440 312 494 323)(font "Arial" )) (pt 512 328) (pt 432 328) ) (connector (text "clk" (rect 440 280 454 291)(font "Arial" )) (pt 512 296) (pt 432 296) ) (connector (text "resetn" (rect 440 296 470 307)(font "Arial" )) (pt 512 312) (pt 432 312) ) (connector (text "aud_bclk" (rect 776 312 820 323)(font "Arial" )) (pt 768 328) (pt 840 328) ) (connector (text "aud_dacdat" (rect 776 328 833 339)(font "Arial" )) (pt 768 344) (pt 840 344) ) (connector (text "aud_daclrck" (rect 776 344 836 355)(font "Arial" )) (pt 768 360) (pt 840 360) ) (connector (text "data[15..0]" (rect 440 328 493 339)(font "Arial" )) (pt 512 344) (pt 432 344) (bus) ) (connector (text "end_tempo" (rect 799 280 854 291)(font "Arial" )) (pt 768 296) (pt 840 296) ) (connector (text "xti_mclk" (rect 560 128 600 139)(font "Arial" )) (pt 608 144) (pt 552 144) ) (connector (text "aud_xck" (rect 664 128 705 139)(font "Arial" )) (pt 656 144) (pt 712 144) ) (connector (text "end_config" (rect 736 488 790 499)(font "Arial" )) (pt 728 504) (pt 816 504) ) (connector (text "i2c_sclk" (rect 736 504 776 515)(font "Arial" )) (pt 728 520) (pt 816 520) ) (connector (text "i2c_sdat" (rect 736 520 777 531)(font "Arial" )) (pt 728 536) (pt 816 536) ) (connector (text "clk" (rect 496 488 510 499)(font "Arial" )) (pt 488 504) (pt 560 504) ) (connector (text "resetn" (rect 496 504 526 515)(font "Arial" )) (pt 488 520) (pt 560 520) ) (connector (text "q" (rect 608 -143 619 -136)(font "Arial" )(vertical)) (pt 624 -168) (pt 624 -120) ) (connector (text "xti_mclk_a" (rect 488 -240 539 -229)(font "Arial" )) (pt 480 -224) (pt 568 -224) (bus) ) (connector (text "xti_mclk_b" (rect 488 -208 539 -197)(font "Arial" )) (pt 480 -192) (pt 568 -192) (bus) ) (connector (text "xti_mclk" (rect 688 -224 728 -213)(font "Arial" )) (pt 680 -208) (pt 752 -208) ) (connector (text "d" (rect 816 -360 823 -349)(font "Arial" )) (pt 808 -344) (pt 872 -344) ) (connector (text "clk" (rect 816 -344 830 -333)(font "Arial" )) (pt 808 -328) (pt 872 -328) ) (connector (text "d_ena" (rect 816 -328 846 -317)(font "Arial" )) (pt 808 -312) (pt 872 -312) ) (connector (text "q" (rect 832 -424 839 -413)(font "Arial" )) (pt 824 -408) (pt 880 -408) ) (connector (text "q" (rect 944 -360 951 -349)(font "Arial" )) (pt 936 -344) (pt 976 -344) ) (connector (text "d" (rect 936 -424 943 -413)(font "Arial" )) (pt 928 -408) (pt 984 -408) ) (connector (text "resetn" (rect 888 -291 899 -261)(font "Arial" )(vertical)) (pt 904 -288) (pt 904 -248) ) (connector (text "xti_mclk" (rect 800 -128 840 -117)(font "Arial" )) (pt 864 -112) (pt 792 -112) ) (connector (text "alarm" (rect 800 -144 828 -133)(font "Arial" )) (pt 864 -128) (pt 792 -128) ) (connector (text "mksin" (rect 936 -136 965 -125)(font "Arial" )) (pt 928 -120) (pt 984 -120) ) (connector (text "data[15..0]" (rect 752 -40 805 -29)(font "Arial" )) (pt 744 -24) (pt 776 -24) (bus) ) (connector (text "resetn" (rect 472 -40 502 -29)(font "Arial" )) (pt 464 -24) (pt 536 -24) ) (connector (text "clk" (rect 472 -24 486 -13)(font "Arial" )) (pt 464 -8) (pt 536 -8) ) (connector (text "mksin" (rect 472 -8 501 3)(font "Arial" )) (pt 464 8) (pt 536 8) )