# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2013 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II 32-bit # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition # Date created = 22:38:55 April 11, 2014 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # top_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "Cyclone II" set_global_assignment -name DEVICE EP2C35F672C6 set_global_assignment -name TOP_LEVEL_ENTITY top set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:38:55 APRIL 11, 2014" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" set_location_assignment PIN_AF10 -to hex0[0] set_location_assignment PIN_AB12 -to hex0[1] set_location_assignment PIN_AC12 -to hex0[2] set_location_assignment PIN_AD11 -to hex0[3] set_location_assignment PIN_AE11 -to hex0[4] set_location_assignment PIN_V14 -to hex0[5] set_location_assignment PIN_V13 -to hex0[6] set_location_assignment PIN_V20 -to hex1[0] set_location_assignment PIN_V21 -to hex1[1] set_location_assignment PIN_W21 -to hex1[2] set_location_assignment PIN_Y22 -to hex1[3] set_location_assignment PIN_AA24 -to hex1[4] set_location_assignment PIN_AA23 -to hex1[5] set_location_assignment PIN_AB24 -to hex1[6] set_location_assignment PIN_AB23 -to hex2[0] set_location_assignment PIN_V22 -to hex2[1] set_location_assignment PIN_AC25 -to hex2[2] set_location_assignment PIN_AC26 -to hex2[3] set_location_assignment PIN_AB26 -to hex2[4] set_location_assignment PIN_AB25 -to hex2[5] set_location_assignment PIN_Y24 -to hex2[6] set_location_assignment PIN_Y23 -to hex3[0] set_location_assignment PIN_AA25 -to hex3[1] set_location_assignment PIN_AA26 -to hex3[2] set_location_assignment PIN_Y26 -to hex3[3] set_location_assignment PIN_Y25 -to hex3[4] set_location_assignment PIN_U22 -to hex3[5] set_location_assignment PIN_W24 -to hex3[6] set_location_assignment PIN_U9 -to hex4[0] set_location_assignment PIN_U1 -to hex4[1] set_location_assignment PIN_U2 -to hex4[2] set_location_assignment PIN_T4 -to hex4[3] set_location_assignment PIN_R7 -to hex4[4] set_location_assignment PIN_R6 -to hex4[5] set_location_assignment PIN_T3 -to hex4[6] set_location_assignment PIN_T2 -to hex5[0] set_location_assignment PIN_P6 -to hex5[1] set_location_assignment PIN_P7 -to hex5[2] set_location_assignment PIN_T9 -to hex5[3] set_location_assignment PIN_R5 -to hex5[4] set_location_assignment PIN_R4 -to hex5[5] set_location_assignment PIN_R3 -to hex5[6] set_location_assignment PIN_R2 -to hex6[0] set_location_assignment PIN_P4 -to hex6[1] set_location_assignment PIN_P3 -to hex6[2] set_location_assignment PIN_M2 -to hex6[3] set_location_assignment PIN_M3 -to hex6[4] set_location_assignment PIN_M5 -to hex6[5] set_location_assignment PIN_M4 -to hex6[6] set_location_assignment PIN_L3 -to hex7[0] set_location_assignment PIN_L2 -to hex7[1] set_location_assignment PIN_L9 -to hex7[2] set_location_assignment PIN_L6 -to hex7[3] set_location_assignment PIN_L7 -to hex7[4] set_location_assignment PIN_P9 -to hex7[5] set_location_assignment PIN_N9 -to hex7[6] set_location_assignment PIN_K1 -to LCD_RS set_location_assignment PIN_K4 -to LCD_RW set_location_assignment PIN_K3 -to LCD_EN set_location_assignment PIN_K2 -to LCD_BLON set_location_assignment PIN_L4 -to LCD_ON set_location_assignment PIN_H3 -to LCD_DATA[7] set_location_assignment PIN_H4 -to LCD_DATA[6] set_location_assignment PIN_J3 -to LCD_DATA[5] set_location_assignment PIN_J4 -to LCD_DATA[4] set_location_assignment PIN_H2 -to LCD_DATA[3] set_location_assignment PIN_H1 -to LCD_DATA[2] set_location_assignment PIN_J2 -to LCD_DATA[1] set_location_assignment PIN_J1 -to LCD_DATA[0] set_location_assignment PIN_N2 -to clk set_location_assignment PIN_G26 -to resetn set_location_assignment PIN_AE14 -to speed_user[1] set_location_assignment PIN_P25 -to speed_user[0] set_location_assignment PIN_N26 -to fan_auto_user set_location_assignment PIN_N25 -to alarm_user set_location_assignment PIN_M23 -to hot set_location_assignment PIN_M20 -to sound_high_level set_location_assignment PIN_AC22 -to speed[1] set_location_assignment PIN_AB21 -to speed[0] set_location_assignment PIN_AF23 -to fan_auto set_location_assignment PIN_AE23 -to alarm set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" set_location_assignment PIN_K26 -to fan set_location_assignment PIN_Y18 -to led_fan set_location_assignment PIN_B4 -to aud_bclk set_location_assignment PIN_A4 -to aud_dacdat set_location_assignment PIN_C6 -to aud_daclrck set_location_assignment PIN_A5 -to aud_xck set_location_assignment PIN_A6 -to i2c_sclk set_location_assignment PIN_B6 -to i2c_sdat set_location_assignment PIN_AE22 -to end_config set_location_assignment PIN_M25 -to xti_mclk set_global_assignment -name QIP_FILE ../display/lpm_constant_2x0.qip set_global_assignment -name QIP_FILE ../display/lpm_constant_7x1.qip set_global_assignment -name QIP_FILE ../commande/lpm_constant_00.qip set_global_assignment -name QIP_FILE ../commande/lpm_compare_00.qip set_global_assignment -name QIP_FILE ../commande/lpm_constant_11.qip set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd set_global_assignment -name VHDL_FILE ../vhdl/message.vhd set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip set_global_assignment -name BDF_FILE ../display/useless.bdf set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf set_global_assignment -name BDF_FILE ../pwm/pwm.bdf set_global_assignment -name BDF_FILE ../commande/fan.bdf set_global_assignment -name BDF_FILE ../commande/alarm.bdf set_global_assignment -name BDF_FILE ../display/display.bdf set_global_assignment -name BDF_FILE ../commande/commande.bdf set_global_assignment -name BDF_FILE top.bdf set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top