summaryrefslogtreecommitdiff
path: root/FPGA/commande/fan.bdf
blob: efc37dc468d62280cef06e0fcae1ceb24abcbd75 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 48 40 224 56)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "fan_auto_user" (rect 5 0 76 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 48 56 224 72)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "fan_speed[1..0]" (rect 5 0 81 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 56 72 224 88)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "hot" (rect 5 0 20 11)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 56 24 224 40)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "enable" (rect 5 0 38 11)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
	(output)
	(rect 56 120 232 136)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "speed[1..0]" (rect 90 0 145 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(pin
	(output)
	(rect 56 104 232 120)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "fan_auto" (rect 90 0 133 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(symbol
	(rect 376 256 488 304)
	(text "lpm_constant_00" (rect 7 0 127 16)(font "Arial" (font_size 10)))
	(text "const0" (rect 8 33 41 44)(font "Arial" ))
	(port
		(pt 112 24)
		(output)
		(text "result[1..0]" (rect 0 0 59 13)(font "Arial" (font_size 8)))
		(text "0" (rect 87 18 94 31)(font "Arial" (font_size 8)))
		(line (pt 112 24)(pt 96 24)(line_width 3))
	)
	(drawing
		(text "2" (rect 99 27 106 38)(font "Arial" ))
		(line (pt 106 20)(pt 98 28))
		(line (pt 16 16)(pt 16 32))
		(line (pt 16 16)(pt 96 16))
		(line (pt 16 32)(pt 96 32))
		(line (pt 96 16)(pt 96 32))
		(line (pt 0 0)(pt 114 0))
		(line (pt 114 0)(pt 114 50))
		(line (pt 0 50)(pt 114 50))
		(line (pt 0 0)(pt 0 50))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
	)
)
(symbol
	(rect 376 192 488 240)
	(text "lpm_constant_11" (rect 7 0 127 16)(font "Arial" (font_size 10)))
	(text "const1" (rect 8 33 41 44)(font "Arial" ))
	(port
		(pt 112 24)
		(output)
		(text "result[1..0]" (rect 0 0 59 13)(font "Arial" (font_size 8)))
		(text "3" (rect 87 18 94 31)(font "Arial" (font_size 8)))
		(line (pt 112 24)(pt 96 24)(line_width 3))
	)
	(drawing
		(text "2" (rect 99 27 106 38)(font "Arial" ))
		(line (pt 106 20)(pt 98 28))
		(line (pt 16 16)(pt 16 32))
		(line (pt 16 16)(pt 96 16))
		(line (pt 16 32)(pt 96 32))
		(line (pt 96 16)(pt 96 32))
		(line (pt 0 0)(pt 114 0))
		(line (pt 114 0)(pt 114 50))
		(line (pt 0 50)(pt 114 50))
		(line (pt 0 0)(pt 0 50))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
	)
)
(symbol
	(rect 368 -16 496 80)
	(text "lpm_compare_00" (rect 13 0 134 16)(font "Arial" (font_size 10)))
	(text "compare00" (rect 8 81 63 92)(font "Arial" ))
	(port
		(pt 0 48)
		(input)
		(text "dataa[1..0]" (rect 0 0 60 13)(font "Arial" (font_size 8)))
		(text "dataa[1..0]" (rect 20 42 80 55)(font "Arial" (font_size 8)))
		(line (pt 0 48)(pt 16 48)(line_width 3))
	)
	(port
		(pt 128 56)
		(output)
		(text "aeb" (rect 0 0 21 13)(font "Arial" (font_size 8)))
		(text "aeb" (rect 91 50 112 63)(font "Arial" (font_size 8)))
		(line (pt 128 56)(pt 112 56))
	)
	(drawing
		(text "datab[]=0" (rect 20 58 73 71)(font "Arial" (font_size 8)))
		(text "compare" (rect 76 27 119 38)(font "Arial" ))
		(line (pt 16 16)(pt 16 80))
		(line (pt 16 16)(pt 112 16))
		(line (pt 16 80)(pt 112 80))
		(line (pt 112 16)(pt 112 80))
		(line (pt 0 0)(pt 129 0))
		(line (pt 129 0)(pt 129 98))
		(line (pt 0 98)(pt 129 98))
		(line (pt 0 0)(pt 0 98))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
	)
)
(symbol
	(rect 400 112 464 160)
	(text "AND2" (rect 1 0 28 10)(font "Arial" (font_size 6)))
	(text "and_f" (rect 3 37 31 48)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible))
		(text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 0 32)
		(input)
		(text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible))
		(text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible))
		(line (pt 0 32)(pt 14 32))
	)
	(port
		(pt 64 24)
		(output)
		(text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 48 15 68 26)(font "Courier New" (bold))(invisible))
		(line (pt 42 24)(pt 64 24))
	)
	(drawing
		(line (pt 14 12)(pt 30 12))
		(line (pt 14 37)(pt 31 37))
		(line (pt 14 12)(pt 14 37))
		(arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
	)
)
(symbol
	(rect 664 88 776 176)
	(text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10)))
	(text "mux_auto" (rect 3 77 51 88)(font "Arial" ))
	(port
		(pt 0 64)
		(input)
		(text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8)))
		(text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 44 64)(line_width 3))
	)
	(port
		(pt 56 88)
		(input)
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(line (pt 56 88)(pt 56 72))
	)
	(port
		(pt 0 32)
		(input)
		(text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8)))
		(text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 44 32)(line_width 3))
	)
	(port
		(pt 112 48)
		(output)
		(text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8)))
		(text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8)))
		(line (pt 68 48)(pt 112 48)(line_width 3))
	)
	(parameter
		"WIDTH"
		"2"
		"Width of I/O, any integer > 0"
		" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" 
	)
	(drawing
		(text "0" (rect 52 31 59 41)(font "Arial" (font_size 6)))
		(text "1" (rect 52 55 57 65)(font "Arial" (font_size 6)))
		(line (pt 68 64)(pt 68 32))
		(line (pt 44 80)(pt 44 16))
		(line (pt 44 16)(pt 68 32))
		(line (pt 44 80)(pt 68 64))
	)
	(annotation_block (parameter)(rect 776 56 896 82))
)
(symbol
	(rect 664 272 776 360)
	(text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10)))
	(text "mux_ena" (rect 3 77 49 88)(font "Arial" ))
	(port
		(pt 0 64)
		(input)
		(text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8)))
		(text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 44 64)(line_width 3))
	)
	(port
		(pt 56 88)
		(input)
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(line (pt 56 88)(pt 56 72))
	)
	(port
		(pt 0 32)
		(input)
		(text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8)))
		(text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 44 32)(line_width 3))
	)
	(port
		(pt 112 48)
		(output)
		(text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8)))
		(text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8)))
		(line (pt 68 48)(pt 112 48)(line_width 3))
	)
	(parameter
		"WIDTH"
		"2"
		"Width of I/O, any integer > 0"
		" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" 
	)
	(drawing
		(text "0" (rect 52 31 59 41)(font "Arial" (font_size 6)))
		(text "1" (rect 52 55 57 65)(font "Arial" (font_size 6)))
		(line (pt 68 64)(pt 68 32))
		(line (pt 44 80)(pt 44 16))
		(line (pt 44 16)(pt 68 32))
		(line (pt 44 80)(pt 68 64))
	)
	(annotation_block (parameter)(rect 776 240 896 266))
)
(symbol
	(rect 664 -96 776 -8)
	(text "BUSMUX" (rect 28 0 95 16)(font "Arial" (font_size 10)))
	(text "mux_auto10" (rect 3 77 64 88)(font "Arial" ))
	(port
		(pt 0 64)
		(input)
		(text "datab[WIDTH-1..0]" (rect 6 51 111 64)(font "Arial" (font_size 8)))
		(text "datab[]" (rect 6 51 44 64)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 44 64)(line_width 3))
	)
	(port
		(pt 56 88)
		(input)
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(text "sel" (rect 59 70 75 83)(font "Arial" (font_size 8)))
		(line (pt 56 88)(pt 56 72))
	)
	(port
		(pt 0 32)
		(input)
		(text "dataa[WIDTH-1..0]" (rect 6 19 111 32)(font "Arial" (font_size 8)))
		(text "dataa[]" (rect 6 19 44 32)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 44 32)(line_width 3))
	)
	(port
		(pt 112 48)
		(output)
		(text "result[WIDTH-1..0]" (rect 75 35 180 48)(font "Arial" (font_size 8)))
		(text "result[]" (rect 75 35 112 48)(font "Arial" (font_size 8)))
		(line (pt 68 48)(pt 112 48)(line_width 3))
	)
	(parameter
		"WIDTH"
		"2"
		"Width of I/O, any integer > 0"
		" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" 
	)
	(drawing
		(text "0" (rect 52 31 59 41)(font "Arial" (font_size 6)))
		(text "1" (rect 52 55 57 65)(font "Arial" (font_size 6)))
		(line (pt 68 64)(pt 68 32))
		(line (pt 44 80)(pt 44 16))
		(line (pt 44 16)(pt 68 32))
		(line (pt 44 80)(pt 68 64))
	)
	(annotation_block (parameter)(rect 776 -128 896 -102))
)
(connector
	(text "no_manual" (rect 505 24 560 35)(font "Arial" ))
	(pt 496 40)
	(pt 560 40)
)
(connector
	(text "fan_speed[1..0]" (rect 296 16 372 27)(font "Arial" ))
	(pt 368 32)
	(pt 288 32)
	(bus)
)
(connector
	(text "fan_speed[1..0]" (rect 584 104 660 115)(font "Arial" ))
	(pt 584 120)
	(pt 664 120)
	(bus)
)
(connector
	(text "fan_value[1..0]" (rect 586 320 659 331)(font "Arial" ))
	(pt 664 336)
	(pt 584 336)
	(bus)
)
(connector
	(text "fan_off[1..0]" (rect 583 288 642 299)(font "Arial" ))
	(pt 664 304)
	(pt 584 304)
	(bus)
)
(connector
	(text "enable" (rect 704 364 715 397)(font "Arial" )(vertical))
	(pt 720 360)
	(pt 720 408)
)
(connector
	(text "speed[1..0]" (rect 792 304 847 315)(font "Arial" ))
	(pt 776 320)
	(pt 792 320)
	(bus)
)
(connector
	(text "fan_value[1..0]" (rect 791 120 864 131)(font "Arial" ))
	(pt 776 136)
	(pt 792 136)
	(bus)
)
(connector
	(text "fan_auto" (rect 704 179 715 222)(font "Arial" )(vertical))
	(pt 720 224)
	(pt 720 176)
)
(connector
	(text "fan_on[1..0]" (rect 582 -48 641 -37)(font "Arial" ))
	(pt 584 -32)
	(pt 664 -32)
	(bus)
)
(connector
	(text "hot" (rect 704 19 715 34)(font "Arial" )(vertical))
	(pt 720 40)
	(pt 720 -8)
)
(connector
	(text "fan_auto_value[1..0]" (rect 582 136 682 147)(font "Arial" ))
	(pt 584 152)
	(pt 664 152)
	(bus)
)
(connector
	(text "fan_auto_value[1..0]" (rect 791 -64 891 -53)(font "Arial" ))
	(pt 776 -48)
	(pt 792 -48)
	(bus)
)
(connector
	(text "fan_off[1..0]" (rect 583 -80 642 -69)(font "Arial" ))
	(pt 664 -64)
	(pt 584 -64)
	(bus)
)
(connector
	(text "fan_on[1..0]" (rect 496 200 555 211)(font "Arial" ))
	(pt 520 216)
	(pt 488 216)
	(bus)
)
(connector
	(text "fan_off[1..0]" (rect 496 264 555 275)(font "Arial" ))
	(pt 488 280)
	(pt 520 280)
	(bus)
)
(connector
	(text "fan_auto" (rect 480 120 523 131)(font "Arial" ))
	(pt 496 136)
	(pt 464 136)
)
(connector
	(text "fan_auto_user" (rect 328 112 399 123)(font "Arial" ))
	(pt 400 128)
	(pt 320 128)
)
(connector
	(text "no_manual" (rect 328 128 383 139)(font "Arial" ))
	(pt 400 144)
	(pt 320 144)
)