summaryrefslogtreecommitdiff
path: root/FPGA/display/useless.bdf
blob: 973744a707bbf05001322a736dc9feaf1c63e2d8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 24 16 200 32)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "clk" (rect 9 0 23 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
	(input)
	(rect 24 32 200 48)
	(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
	(text "resetn" (rect 9 0 39 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
	(output)
	(rect 24 112 200 128)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "hex0[6..0]" (rect 90 0 138 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(pin
	(output)
	(rect 24 96 200 112)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "hex1[6..0]" (rect 90 0 138 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(pin
	(output)
	(rect 24 80 200 96)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "hex2[6..0]" (rect 90 0 138 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(pin
	(output)
	(rect 24 64 200 80)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "hex3[6..0]" (rect 90 0 138 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(symbol
	(rect 704 -120 752 -88)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst1" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 -88 752 -56)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst2" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 -56 752 -24)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst3" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 -24 752 8)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst4" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 8 752 40)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst5" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 40 752 72)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst6" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 72 752 104)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst7" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 104 752 136)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst8" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 136 752 168)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst9" (rect 3 21 26 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 168 752 200)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst10" (rect 3 21 32 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 200 752 232)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst11" (rect 3 21 32 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 704 232 752 264)
	(text "WIRE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "inst12" (rect 3 21 32 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 816 -192 848 -176)
	(text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6)))
	(text "inst16" (rect 3 5 32 16)(font "Arial" )(invisible))
	(port
		(pt 16 16)
		(output)
		(text "1" (rect 19 7 26 18)(font "Courier New" (bold))(invisible))
		(text "1" (rect 19 7 26 18)(font "Courier New" (bold))(invisible))
		(line (pt 16 16)(pt 16 8))
	)
	(drawing
		(line (pt 8 8)(pt 24 8))
	)
)
(symbol
	(rect 400 32 544 160)
	(text "lpm_shiftreg0" (rect 33 0 127 16)(font "Arial" (font_size 10)))
	(text "inst" (rect 8 113 25 124)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clock" (rect 0 0 30 13)(font "Arial" (font_size 8)))
		(text "clock" (rect 26 26 56 39)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "enable" (rect 0 0 37 13)(font "Arial" (font_size 8)))
		(text "enable" (rect 20 42 57 55)(font "Arial" (font_size 8)))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "shiftin" (rect 0 0 33 13)(font "Arial" (font_size 8)))
		(text "shiftin" (rect 20 58 53 71)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 112 128)
		(input)
		(text "aset" (rect 0 0 13 24)(font "Arial" (font_size 8))(vertical))
		(text "aset" (rect 106 86 119 110)(font "Arial" (font_size 8))(vertical))
		(line (pt 112 128)(pt 112 112))
	)
	(port
		(pt 144 56)
		(output)
		(text "shiftout" (rect 0 0 41 13)(font "Arial" (font_size 8)))
		(text "shiftout" (rect 91 50 132 63)(font "Arial" (font_size 8)))
		(line (pt 144 56)(pt 128 56))
	)
	(port
		(pt 144 72)
		(output)
		(text "q[11..0]" (rect 0 0 42 13)(font "Arial" (font_size 8)))
		(text "q[11..0]" (rect 90 66 132 79)(font "Arial" (font_size 8)))
		(line (pt 144 72)(pt 128 72)(line_width 3))
	)
	(drawing
		(text "left shift" (rect 96 18 134 29)(font "Arial" ))
		(text "aset 2080" (rect 87 26 135 37)(font "Arial" ))
		(line (pt 16 16)(pt 16 112))
		(line (pt 16 16)(pt 128 16))
		(line (pt 16 112)(pt 128 112))
		(line (pt 128 16)(pt 128 112))
		(line (pt 0 0)(pt 146 0))
		(line (pt 146 0)(pt 146 130))
		(line (pt 0 130)(pt 146 130))
		(line (pt 0 0)(pt 0 130))
		(line (pt 16 26)(pt 22 32))
		(line (pt 22 32)(pt 16 38))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
	)
)
(symbol
	(rect 448 224 496 256)
	(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
	(text "inst14" (rect 3 21 32 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 13 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 52 18)(font "Courier New" (bold))(invisible))
		(line (pt 39 16)(pt 48 16))
	)
	(drawing
		(line (pt 13 25)(pt 13 7))
		(line (pt 13 7)(pt 31 16))
		(line (pt 13 25)(pt 31 16))
		(circle (rect 31 12 39 20))
	)
)
(symbol
	(rect 392 -104 552 -24)
	(text "clock_divider" (rect 5 0 69 11)(font "Arial" ))
	(text "inst13" (rect 8 64 37 75)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 14 11)(font "Arial" ))
		(text "clk" (rect 21 27 35 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 30 11)(font "Arial" ))
		(text "resetn" (rect 21 43 51 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 160 32)
		(output)
		(text "en_user" (rect 0 0 41 11)(font "Arial" ))
		(text "en_user" (rect 104 27 145 38)(font "Arial" ))
		(line (pt 160 32)(pt 144 32))
	)
	(parameter
		"board_frequency"
		"50000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"user_frequency"
		"8"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(drawing
		(rectangle (rect 16 16 144 64))
	)
	(annotation_block (parameter)(rect 368 -144 553 -105))
)
(connector
	(text "clk" (rect 352 48 366 59)(font "Arial" ))
	(pt 400 64)
	(pt 344 64)
)
(connector
	(text "shift" (rect 352 80 373 91)(font "Arial" ))
	(pt 400 96)
	(pt 344 96)
)
(connector
	(text "en" (rect 352 64 364 75)(font "Arial" ))
	(pt 400 80)
	(pt 344 80)
)
(connector
	(text "shift" (rect 552 72 573 83)(font "Arial" ))
	(pt 592 88)
	(pt 544 88)
)
(connector
	(text "q[11..0]" (rect 574 88 611 99)(font "Arial" ))
	(pt 544 104)
	(pt 592 104)
	(bus)
)
(connector
	(text "reset" (rect 496 162 507 186)(font "Arial" )(vertical))
	(pt 512 160)
	(pt 512 200)
)
(connector
	(text "en" (rect 560 -88 572 -77)(font "Arial" ))
	(pt 552 -72)
	(pt 600 -72)
)
(connector
	(text "clk" (rect 344 -88 358 -77)(font "Arial" ))
	(pt 336 -72)
	(pt 392 -72)
)
(connector
	(text "resetn" (rect 344 -72 374 -61)(font "Arial" ))
	(pt 336 -56)
	(pt 392 -56)
)
(connector
	(text "resetn" (rect 400 224 430 235)(font "Arial" ))
	(pt 448 240)
	(pt 392 240)
)
(connector
	(text "reset" (rect 504 224 528 235)(font "Arial" ))
	(pt 496 240)
	(pt 552 240)
)
(connector
	(text "q[0]" (rect 660 -120 678 -109)(font "Arial" ))
	(pt 704 -104)
	(pt 648 -104)
)
(connector
	(text "q[1]" (rect 662 -88 680 -77)(font "Arial" ))
	(pt 704 -72)
	(pt 648 -72)
)
(connector
	(text "q[2]" (rect 664 -56 682 -45)(font "Arial" ))
	(pt 704 -40)
	(pt 648 -40)
)
(connector
	(text "q[3]" (rect 660 -24 678 -13)(font "Arial" ))
	(pt 704 -8)
	(pt 648 -8)
)
(connector
	(text "q[4]" (rect 663 8 681 19)(font "Arial" ))
	(pt 704 24)
	(pt 648 24)
)
(connector
	(text "q[5]" (rect 657 40 675 51)(font "Arial" ))
	(pt 704 56)
	(pt 648 56)
)
(connector
	(text "q[6]" (rect 662 72 680 83)(font "Arial" ))
	(pt 704 88)
	(pt 648 88)
)
(connector
	(text "q[7]" (rect 664 104 682 115)(font "Arial" ))
	(pt 704 120)
	(pt 648 120)
)
(connector
	(text "q[8]" (rect 662 136 680 147)(font "Arial" ))
	(pt 704 152)
	(pt 648 152)
)
(connector
	(text "q[9]" (rect 664 168 682 179)(font "Arial" ))
	(pt 704 184)
	(pt 648 184)
)
(connector
	(text "q[10]" (rect 664 200 688 211)(font "Arial" ))
	(pt 704 216)
	(pt 648 216)
)
(connector
	(text "q[11]" (rect 664 232 688 243)(font "Arial" ))
	(pt 704 248)
	(pt 648 248)
)
(connector
	(text "hex3[0]" (rect 760 -120 796 -109)(font "Arial" ))
	(pt 752 -104)
	(pt 792 -104)
)
(connector
	(text "hex2[0]" (rect 760 -88 796 -77)(font "Arial" ))
	(pt 752 -72)
	(pt 792 -72)
)
(connector
	(text "hex1[0]" (rect 760 -56 796 -45)(font "Arial" ))
	(pt 752 -40)
	(pt 792 -40)
)
(connector
	(text "hex0[0]" (rect 760 -24 796 -13)(font "Arial" ))
	(pt 752 -8)
	(pt 792 -8)
)
(connector
	(text "hex0[1]" (rect 760 8 796 19)(font "Arial" ))
	(pt 752 24)
	(pt 792 24)
)
(connector
	(text "hex0[2]" (rect 760 40 796 51)(font "Arial" ))
	(pt 752 56)
	(pt 792 56)
)
(connector
	(text "hex0[3]" (rect 760 72 796 83)(font "Arial" ))
	(pt 752 88)
	(pt 792 88)
)
(connector
	(text "hex1[3]" (rect 760 104 796 115)(font "Arial" ))
	(pt 752 120)
	(pt 792 120)
)
(connector
	(text "hex2[3]" (rect 760 136 796 147)(font "Arial" ))
	(pt 752 152)
	(pt 792 152)
)
(connector
	(text "hex3[3]" (rect 760 168 796 179)(font "Arial" ))
	(pt 752 184)
	(pt 792 184)
)
(connector
	(text "hex3[4]" (rect 760 200 796 211)(font "Arial" ))
	(pt 752 216)
	(pt 792 216)
)
(connector
	(text "hex3[5]" (rect 760 232 796 243)(font "Arial" ))
	(pt 752 248)
	(pt 792 248)
)
(connector
	(pt 648 -128)
	(pt 648 -104)
	(bus)
)
(connector
	(pt 648 -104)
	(pt 648 -72)
	(bus)
)
(connector
	(pt 648 -72)
	(pt 648 -40)
	(bus)
)
(connector
	(pt 648 -40)
	(pt 648 -8)
	(bus)
)
(connector
	(pt 648 -8)
	(pt 648 24)
	(bus)
)
(connector
	(pt 648 24)
	(pt 648 56)
	(bus)
)
(connector
	(pt 648 56)
	(pt 648 88)
	(bus)
)
(connector
	(pt 648 88)
	(pt 648 120)
	(bus)
)
(connector
	(pt 648 120)
	(pt 648 152)
	(bus)
)
(connector
	(text "q[11..0]" (rect 633 152 644 189)(font "Arial" )(vertical))
	(pt 648 152)
	(pt 648 184)
	(bus)
)
(connector
	(pt 648 184)
	(pt 648 216)
	(bus)
)
(connector
	(pt 648 216)
	(pt 648 248)
	(bus)
)
(connector
	(pt 648 248)
	(pt 648 272)
	(bus)
)
(connector
	(text "hex0[4]" (rect 840 -128 876 -117)(font "Arial" ))
	(pt 832 -112)
	(pt 872 -112)
)
(connector
	(text "hex0[5]" (rect 840 -104 876 -93)(font "Arial" ))
	(pt 832 -88)
	(pt 872 -88)
)
(connector
	(text "hex0[6]" (rect 840 -80 876 -69)(font "Arial" ))
	(pt 832 -64)
	(pt 872 -64)
)
(connector
	(text "hex1[1]" (rect 840 -40 876 -29)(font "Arial" ))
	(pt 832 -24)
	(pt 872 -24)
)
(connector
	(text "hex1[4]" (rect 840 8 876 19)(font "Arial" ))
	(pt 832 24)
	(pt 872 24)
)
(connector
	(text "hex1[2]" (rect 840 -16 876 -5)(font "Arial" ))
	(pt 832 0)
	(pt 872 0)
)
(connector
	(text "hex1[5]" (rect 840 32 876 43)(font "Arial" ))
	(pt 832 48)
	(pt 872 48)
)
(connector
	(text "hex1[6]" (rect 840 56 876 67)(font "Arial" ))
	(pt 832 72)
	(pt 872 72)
)
(connector
	(text "hex2[1]" (rect 840 96 876 107)(font "Arial" ))
	(pt 832 112)
	(pt 872 112)
)
(connector
	(text "hex2[2]" (rect 840 120 876 131)(font "Arial" ))
	(pt 832 136)
	(pt 872 136)
)
(connector
	(text "hex2[4]" (rect 840 144 876 155)(font "Arial" ))
	(pt 832 160)
	(pt 872 160)
)
(connector
	(text "hex2[5]" (rect 840 168 876 179)(font "Arial" ))
	(pt 832 184)
	(pt 872 184)
)
(connector
	(text "hex2[6]" (rect 840 192 876 203)(font "Arial" ))
	(pt 832 208)
	(pt 872 208)
)
(connector
	(text "hex3[1]" (rect 840 232 876 243)(font "Arial" ))
	(pt 832 248)
	(pt 872 248)
)
(connector
	(text "hex3[2]" (rect 840 256 876 267)(font "Arial" ))
	(pt 832 272)
	(pt 872 272)
)
(connector
	(text "hex3[6]" (rect 840 328 876 339)(font "Arial" ))
	(pt 832 344)
	(pt 872 344)
)
(connector
	(pt 832 -24)
	(pt 832 0)
)
(connector
	(pt 832 0)
	(pt 832 24)
)
(connector
	(pt 832 24)
	(pt 832 48)
)
(connector
	(pt 832 48)
	(pt 832 72)
)
(connector
	(pt 832 72)
	(pt 832 112)
)
(connector
	(pt 832 112)
	(pt 832 136)
)
(connector
	(pt 832 136)
	(pt 832 160)
)
(connector
	(pt 832 160)
	(pt 832 184)
)
(connector
	(pt 832 -176)
	(pt 832 -112)
)
(connector
	(pt 832 -112)
	(pt 832 -88)
)
(connector
	(pt 832 -88)
	(pt 832 -64)
)
(connector
	(pt 832 -64)
	(pt 832 -24)
)
(connector
	(pt 832 184)
	(pt 832 208)
)
(connector
	(pt 832 208)
	(pt 832 248)
)
(connector
	(pt 832 248)
	(pt 832 272)
)
(connector
	(pt 832 272)
	(pt 832 344)
)
(connector
	(pt 832 344)
	(pt 832 384)
)
(junction (pt 648 -104))
(junction (pt 648 -72))
(junction (pt 648 -40))
(junction (pt 648 -8))
(junction (pt 648 24))
(junction (pt 648 56))
(junction (pt 648 88))
(junction (pt 648 248))
(junction (pt 648 120))
(junction (pt 648 152))
(junction (pt 648 184))
(junction (pt 648 216))
(junction (pt 832 -64))
(junction (pt 832 -24))
(junction (pt 832 0))
(junction (pt 832 24))
(junction (pt 832 48))
(junction (pt 832 72))
(junction (pt 832 112))
(junction (pt 832 136))
(junction (pt 832 160))
(junction (pt 832 184))
(junction (pt 832 208))
(junction (pt 832 -112))
(junction (pt 832 -88))
(junction (pt 832 248))
(junction (pt 832 272))
(junction (pt 832 344))