summaryrefslogtreecommitdiff
path: root/FPGA/sound_gene/sound_gene.bdf
blob: 48effbf379f6bebb96e831fc4f5ca68d8ab39c30 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 88 56 264 72)
	(text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
	(text "clk" (rect 9 0 24 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 32 56 88 72))
)
(pin
	(input)
	(rect 88 72 264 88)
	(text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
	(text "resetn" (rect 9 0 40 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 24 72 88 88))
)
(pin
	(input)
	(rect 88 88 264 104)
	(text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
	(text "alarm" (rect 9 0 38 11)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 92 12)(pt 117 12))
		(line (pt 92 4)(pt 117 4))
		(line (pt 121 8)(pt 176 8))
		(line (pt 92 12)(pt 92 4))
		(line (pt 117 4)(pt 121 8))
		(line (pt 117 12)(pt 121 8))
	)
	(text "VCC" (rect 136 7 157 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 24 88 88 104))
)
(pin
	(output)
	(rect 88 104 264 120)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "end_config" (rect 90 0 145 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 104 328 120))
)
(pin
	(output)
	(rect 88 136 264 152)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "i2c_sclk" (rect 90 0 131 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 136 320 152))
)
(pin
	(output)
	(rect 88 152 264 168)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "aud_bclk" (rect 90 0 136 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 152 320 168))
)
(pin
	(output)
	(rect 88 168 264 184)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "aud_dacdat" (rect 90 0 149 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 168 320 184))
)
(pin
	(output)
	(rect 88 184 264 200)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "aud_daclrck" (rect 90 0 151 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 184 320 200))
)
(pin
	(output)
	(rect 88 200 264 216)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "aud_xck" (rect 90 0 132 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 200 320 216))
)
(pin
	(output)
	(rect 88 216 264 232)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "xti_mclk" (rect 90 0 131 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 264 216 328 232))
)
(pin
	(output)
	(rect 88 232 264 248)
	(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
	(text "end_tempo" (rect 90 0 146 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
)
(pin
	(bidir)
	(rect 88 120 264 136)
	(text "BIDIR" (rect 1 0 28 10)(font "Arial" (font_size 6)))
	(text "i2c_sdat" (rect 90 0 132 11)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 56 4)(pt 78 4))
		(line (pt 0 8)(pt 52 8))
		(line (pt 56 12)(pt 78 12))
		(line (pt 78 4)(pt 82 8))
		(line (pt 78 12)(pt 82 8))
		(line (pt 56 4)(pt 52 8))
		(line (pt 52 8)(pt 56 12))
	)
	(text "VCC" (rect 4 7 25 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 264 120 320 136))
)
(symbol
	(rect 544 664 752 776)
	(text "dds_sinus" (rect 5 0 55 11)(font "Arial" ))
	(text "inst2" (rect 8 96 32 107)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "resetn" (rect 0 0 31 11)(font "Arial" ))
		(text "resetn" (rect 21 27 52 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "clk" (rect 0 0 15 11)(font "Arial" ))
		(text "clk" (rect 21 43 36 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "en" (rect 0 0 14 11)(font "Arial" ))
		(text "en" (rect 21 59 35 70)(font "Arial" ))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 208 32)
		(output)
		(text "dds_out[n_data-1..0]" (rect 0 0 101 11)(font "Arial" ))
		(text "dds_out[n_data-1..0]" (rect 102 27 203 38)(font "Arial" ))
		(line (pt 208 32)(pt 192 32)(line_width 3))
	)
	(parameter
		"N_data"
		"16"
		""
		(type "PARAMETER_SIGNED_DEC")	)
	(parameter
		"M"
		"12"
		""
		(type "PARAMETER_SIGNED_DEC")	)
	(drawing
		(rectangle (rect 16 16 192 96))
	)
	(annotation_block (parameter)(rect 752 624 928 664))
)
(symbol
	(rect 512 216 768 360)
	(text "codec_dac" (rect 5 0 60 11)(font "Arial" ))
	(text "inst3" (rect 8 128 32 139)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 15 11)(font "Arial" ))
		(text "clk" (rect 21 27 36 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 31 11)(font "Arial" ))
		(text "resetn" (rect 21 43 52 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "go" (rect 0 0 14 11)(font "Arial" ))
		(text "go" (rect 21 59 35 70)(font "Arial" ))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 0 80)
		(input)
		(text "data_in[data_width-1..0]" (rect 0 0 118 11)(font "Arial" ))
		(text "data_in[data_width-1..0]" (rect 21 75 139 86)(font "Arial" ))
		(line (pt 0 80)(pt 16 80)(line_width 3))
	)
	(port
		(pt 256 32)
		(output)
		(text "tempo_dac" (rect 0 0 56 11)(font "Arial" ))
		(text "tempo_dac" (rect 189 27 245 38)(font "Arial" ))
		(line (pt 256 32)(pt 240 32))
	)
	(port
		(pt 256 48)
		(output)
		(text "end_dac" (rect 0 0 43 11)(font "Arial" ))
		(text "end_dac" (rect 200 43 243 54)(font "Arial" ))
		(line (pt 256 48)(pt 240 48))
	)
	(port
		(pt 256 64)
		(output)
		(text "codec_dac_bclk" (rect 0 0 80 11)(font "Arial" ))
		(text "codec_dac_bclk" (rect 169 59 249 70)(font "Arial" ))
		(line (pt 256 64)(pt 240 64))
	)
	(port
		(pt 256 80)
		(output)
		(text "codec_dac_data" (rect 0 0 82 11)(font "Arial" ))
		(text "codec_dac_data" (rect 167 75 249 86)(font "Arial" ))
		(line (pt 256 80)(pt 240 80))
	)
	(port
		(pt 256 96)
		(output)
		(text "codec_dac_lrck" (rect 0 0 77 11)(font "Arial" ))
		(text "codec_dac_lrck" (rect 171 91 248 102)(font "Arial" ))
		(line (pt 256 96)(pt 240 96))
	)
	(parameter
		"system_frequency"
		"50000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"sample_rate"
		"48000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"data_width"
		"16"
		""
		(type "PARAMETER_SIGNED_DEC")	)
	(parameter
		"channel_num"
		"2"
		""
		(type "PARAMETER_SIGNED_DEC")	)
	(drawing
		(rectangle (rect 16 16 240 128))
	)
	(annotation_block (parameter)(rect 768 144 1016 216))
)
(symbol
	(rect 560 456 728 568)
	(text "codec_config" (rect 5 0 71 11)(font "Arial" ))
	(text "inst4" (rect 8 96 32 107)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 15 11)(font "Arial" ))
		(text "clk" (rect 21 27 36 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 31 11)(font "Arial" ))
		(text "resetn" (rect 21 43 52 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 168 32)
		(output)
		(text "end_config" (rect 0 0 55 11)(font "Arial" ))
		(text "end_config" (rect 102 27 157 38)(font "Arial" ))
		(line (pt 168 32)(pt 152 32))
	)
	(port
		(pt 168 48)
		(output)
		(text "i2c_scl" (rect 0 0 35 11)(font "Arial" ))
		(text "i2c_scl" (rect 119 43 154 54)(font "Arial" ))
		(line (pt 168 48)(pt 152 48))
	)
	(port
		(pt 168 64)
		(bidir)
		(text "i2c_sda" (rect 0 0 40 11)(font "Arial" ))
		(text "i2c_sda" (rect 117 59 157 70)(font "Arial" ))
		(line (pt 168 64)(pt 152 64))
	)
	(parameter
		"system_frequency"
		"50000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"i2c_rate"
		"20000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(drawing
		(rectangle (rect 16 16 152 96))
	)
	(annotation_block (parameter)(rect 728 416 920 456))
)
(symbol
	(rect 544 -400 704 -320)
	(text "clock_divider" (rect 5 0 71 11)(font "Arial" ))
	(text "inst9" (rect 8 64 32 75)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 15 11)(font "Arial" ))
		(text "clk" (rect 21 27 36 38)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 31 11)(font "Arial" ))
		(text "resetn" (rect 21 43 52 54)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 160 32)
		(output)
		(text "en_user" (rect 0 0 42 11)(font "Arial" ))
		(text "en_user" (rect 105 27 147 38)(font "Arial" ))
		(line (pt 160 32)(pt 144 32))
	)
	(parameter
		"board_frequency"
		"50000000.0"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(parameter
		"user_frequency"
		"2"
		""
		(type "PARAMETER_SIGNED_FLOAT")	)
	(drawing
		(rectangle (rect 16 16 144 64))
	)
	(annotation_block (parameter)(rect 704 -440 889 -401))
)
(symbol
	(rect 616 160 664 192)
	(text "WIRE" (rect 1 0 26 10)(font "Arial" (font_size 6)))
	(text "inst5" (rect 3 21 27 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible))
		(line (pt 32 16)(pt 48 16))
	)
	(drawing
		(line (pt 14 7)(pt 32 16))
		(line (pt 14 25)(pt 14 7))
		(line (pt 14 25)(pt 32 16))
	)
)
(symbol
	(rect 608 584 672 632)
	(text "AND2" (rect 1 0 29 10)(font "Arial" (font_size 6)))
	(text "inst1" (rect 3 37 26 48)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible))
		(text "IN1" (rect 2 7 22 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 14 16))
	)
	(port
		(pt 0 32)
		(input)
		(text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible))
		(text "IN2" (rect 2 23 22 34)(font "Courier New" (bold))(invisible))
		(line (pt 0 32)(pt 14 32))
	)
	(port
		(pt 64 24)
		(output)
		(text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible))
		(line (pt 42 24)(pt 64 24))
	)
	(drawing
		(line (pt 14 12)(pt 30 12))
		(line (pt 14 37)(pt 31 37))
		(line (pt 14 12)(pt 14 37))
		(arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
	)
)
(symbol
	(rect 600 -224 664 -144)
	(text "DFFE" (rect 1 0 26 10)(font "Arial" (font_size 6)))
	(text "inst10" (rect 3 68 33 79)(font "Arial" ))
	(port
		(pt 32 0)
		(input)
		(text "PRN" (rect 24 13 45 24)(font "Courier New" (bold)))
		(text "PRN" (rect 24 11 45 22)(font "Courier New" (bold)))
		(line (pt 32 4)(pt 32 0))
	)
	(port
		(pt 32 80)
		(input)
		(text "CLRN" (rect 21 59 49 70)(font "Courier New" (bold)))
		(text "CLRN" (rect 21 58 49 69)(font "Courier New" (bold)))
		(line (pt 32 80)(pt 32 76))
	)
	(port
		(pt 0 24)
		(input)
		(text "D" (rect 14 20 22 31)(font "Courier New" (bold)))
		(text "D" (rect 14 20 22 31)(font "Courier New" (bold)))
		(line (pt 0 24)(pt 12 24))
	)
	(port
		(pt 0 40)
		(input)
		(text "CLK" (rect 2 28 23 39)(font "Courier New" (bold))(invisible))
		(text "CLK" (rect 2 28 23 39)(font "Courier New" (bold))(invisible))
		(line (pt 0 40)(pt 12 40))
	)
	(port
		(pt 0 56)
		(input)
		(text "ENA" (rect 14 50 35 61)(font "Courier New" (bold)))
		(text "ENA" (rect 14 50 35 61)(font "Courier New" (bold)))
		(line (pt 0 56)(pt 12 56))
	)
	(port
		(pt 64 24)
		(output)
		(text "Q" (rect 44 20 52 31)(font "Courier New" (bold)))
		(text "Q" (rect 43 20 51 31)(font "Courier New" (bold)))
		(line (pt 53 24)(pt 64 24))
	)
	(drawing
		(line (pt 12 68)(pt 52 68))
		(line (pt 12 12)(pt 52 12))
		(line (pt 52 68)(pt 52 12))
		(line (pt 12 68)(pt 12 12))
		(line (pt 12 34)(pt 19 41))
		(line (pt 18 41)(pt 12 47))
		(circle (rect 28 4 36 12))
		(circle (rect 28 68 36 76))
	)
)
(symbol
	(rect 608 -280 656 -248)
	(text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6)))
	(text "inst12" (rect 3 21 33 32)(font "Arial" ))
	(port
		(pt 0 16)
		(input)
		(text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible))
		(text "IN" (rect 2 7 17 18)(font "Courier New" (bold))(invisible))
		(line (pt 0 16)(pt 13 16))
	)
	(port
		(pt 48 16)
		(output)
		(text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible))
		(text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible))
		(line (pt 39 16)(pt 48 16))
	)
	(drawing
		(line (pt 13 25)(pt 13 7))
		(line (pt 13 7)(pt 31 16))
		(line (pt 13 25)(pt 31 16))
		(circle (rect 31 12 39 20))
	)
)
(symbol
	(rect 584 -32 696 56)
	(text "BUSMUX" (rect 28 0 96 16)(font "Arial" (font_size 10)))
	(text "inst8" (rect 3 77 31 90)(font "Arial" (font_size 8)))
	(port
		(pt 0 64)
		(input)
		(text "datab[WIDTH-1..0]" (rect 6 51 112 64)(font "Arial" (font_size 8)))
		(text "datab[]" (rect 6 51 46 64)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 44 64)(line_width 3))
	)
	(port
		(pt 56 88)
		(input)
		(text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8)))
		(text "sel" (rect 59 70 76 83)(font "Arial" (font_size 8)))
		(line (pt 56 88)(pt 56 72))
	)
	(port
		(pt 0 32)
		(input)
		(text "dataa[WIDTH-1..0]" (rect 6 19 112 32)(font "Arial" (font_size 8)))
		(text "dataa[]" (rect 6 19 46 32)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 44 32)(line_width 3))
	)
	(port
		(pt 112 48)
		(output)
		(text "result[WIDTH-1..0]" (rect 75 35 181 48)(font "Arial" (font_size 8)))
		(text "result[]" (rect 75 35 113 48)(font "Arial" (font_size 8)))
		(line (pt 68 48)(pt 112 48)(line_width 3))
	)
	(parameter
		"WIDTH"
		"1"
		"Width of I/O, any integer > 0"
		" 1" " 2" " 3" " 4" " 5" " 6" " 7" " 8" " 9" "10" "11" "12" "13" "14" "15" "16" "20" "24" "28" "32" "40" "48" "56" "64" 
	)
	(drawing
		(text "0" (rect 52 31 60 41)(font "Arial" (font_size 6)))
		(text "1" (rect 52 55 57 65)(font "Arial" (font_size 6)))
		(line (pt 68 64)(pt 68 32))
		(line (pt 44 80)(pt 44 16))
		(line (pt 44 16)(pt 68 32))
		(line (pt 44 80)(pt 68 64))
	)
	(annotation_block (parameter)(rect 696 -64 819 -38))
)
(symbol
	(rect 544 -568 696 -472)
	(text "codec_clock" (rect 5 0 76 13)(font "Arial" (font_size 8)))
	(text "inst6" (rect 8 81 32 92)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 18 13)(font "Arial" (font_size 8)))
		(text "clk" (rect 21 27 39 40)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "resetn" (rect 0 0 36 13)(font "Arial" (font_size 8)))
		(text "resetn" (rect 21 43 57 56)(font "Arial" (font_size 8)))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 152 32)
		(output)
		(text "xti_mclk_a" (rect 0 0 61 13)(font "Arial" (font_size 8)))
		(text "xti_mclk_a" (rect 71 27 132 40)(font "Arial" (font_size 8)))
		(line (pt 152 32)(pt 136 32))
	)
	(port
		(pt 152 48)
		(output)
		(text "xti_mclk_b" (rect 0 0 61 13)(font "Arial" (font_size 8)))
		(text "xti_mclk_b" (rect 71 43 132 56)(font "Arial" (font_size 8)))
		(line (pt 152 48)(pt 136 48))
	)
	(drawing
		(rectangle (rect 16 16 136 80))
	)
)
(connector
	(text "end_config" (rect 440 264 495 275)(font "Arial" ))
	(pt 512 280)
	(pt 432 280)
)
(connector
	(text "clk" (rect 440 232 455 243)(font "Arial" ))
	(pt 512 248)
	(pt 432 248)
)
(connector
	(text "resetn" (rect 440 248 471 259)(font "Arial" ))
	(pt 512 264)
	(pt 432 264)
)
(connector
	(text "aud_bclk" (rect 776 264 822 275)(font "Arial" ))
	(pt 768 280)
	(pt 840 280)
)
(connector
	(text "aud_dacdat" (rect 776 280 835 291)(font "Arial" ))
	(pt 768 296)
	(pt 840 296)
)
(connector
	(text "aud_daclrck" (rect 776 296 837 307)(font "Arial" ))
	(pt 768 312)
	(pt 840 312)
)
(connector
	(text "end_config" (rect 736 472 791 483)(font "Arial" ))
	(pt 728 488)
	(pt 816 488)
)
(connector
	(text "i2c_sclk" (rect 736 488 777 499)(font "Arial" ))
	(pt 728 504)
	(pt 816 504)
)
(connector
	(text "i2c_sdat" (rect 736 504 778 515)(font "Arial" ))
	(pt 728 520)
	(pt 816 520)
)
(connector
	(text "clk" (rect 496 472 511 483)(font "Arial" ))
	(pt 488 488)
	(pt 560 488)
)
(connector
	(text "resetn" (rect 496 488 527 499)(font "Arial" ))
	(pt 488 504)
	(pt 560 504)
)
(connector
	(text "resetn" (rect 480 680 511 691)(font "Arial" ))
	(pt 544 696)
	(pt 472 696)
)
(connector
	(text "clk" (rect 480 696 495 707)(font "Arial" ))
	(pt 544 712)
	(pt 472 712)
)
(connector
	(text "data[15..0]" (rect 440 280 493 291)(font "Arial" ))
	(pt 512 296)
	(pt 432 296)
	(bus)
)
(connector
	(text "xti_mclk" (rect 568 160 609 171)(font "Arial" ))
	(pt 616 176)
	(pt 560 176)
)
(connector
	(text "aud_xck" (rect 672 160 714 171)(font "Arial" ))
	(pt 664 176)
	(pt 720 176)
)
(connector
	(text "end_tempo" (rect 799 232 855 243)(font "Arial" ))
	(pt 768 248)
	(pt 840 248)
)
(connector
	(text "xti_mclk" (rect 544 600 585 611)(font "Arial" ))
	(pt 608 616)
	(pt 536 616)
)
(connector
	(text "alarm" (rect 544 584 573 595)(font "Arial" ))
	(pt 608 600)
	(pt 536 600)
)
(connector
	(text "mksin" (rect 680 592 710 603)(font "Arial" ))
	(pt 672 608)
	(pt 728 608)
)
(connector
	(text "mksin" (rect 480 712 510 723)(font "Arial" ))
	(pt 544 728)
	(pt 472 728)
)
(connector
	(text "data[15..0]" (rect 760 680 813 691)(font "Arial" ))
	(pt 752 696)
	(pt 784 696)
	(bus)
)
(connector
	(text "q" (rect 624 81 635 89)(font "Arial" )(vertical))
	(pt 640 56)
	(pt 640 104)
)
(connector
	(text "xti_mclk_a" (rect 504 -16 557 -5)(font "Arial" ))
	(pt 496 0)
	(pt 584 0)
	(bus)
)
(connector
	(text "xti_mclk_b" (rect 504 16 557 27)(font "Arial" ))
	(pt 496 32)
	(pt 584 32)
	(bus)
)
(connector
	(text "xti_mclk" (rect 704 0 745 11)(font "Arial" ))
	(pt 696 16)
	(pt 768 16)
)
(connector
	(text "resetn" (rect 616 -147 627 -116)(font "Arial" )(vertical))
	(pt 632 -144)
	(pt 632 -104)
)
(connector
	(text "clk" (rect 480 -384 495 -373)(font "Arial" ))
	(pt 472 -368)
	(pt 544 -368)
)
(connector
	(text "resetn" (rect 472 -368 503 -357)(font "Arial" ))
	(pt 472 -352)
	(pt 544 -352)
)
(connector
	(text "d" (rect 544 -216 552 -205)(font "Arial" ))
	(pt 536 -200)
	(pt 600 -200)
)
(connector
	(text "clk" (rect 544 -200 559 -189)(font "Arial" ))
	(pt 536 -184)
	(pt 600 -184)
)
(connector
	(text "d_ena" (rect 544 -184 575 -173)(font "Arial" ))
	(pt 536 -168)
	(pt 600 -168)
)
(connector
	(text "q" (rect 560 -280 568 -269)(font "Arial" ))
	(pt 552 -264)
	(pt 608 -264)
)
(connector
	(text "clk" (rect 488 -552 503 -541)(font "Arial" ))
	(pt 480 -536)
	(pt 544 -536)
)
(connector
	(text "resetn" (rect 488 -536 519 -525)(font "Arial" ))
	(pt 480 -520)
	(pt 544 -520)
)
(connector
	(text "d_ena" (rect 712 -384 743 -373)(font "Arial" ))
	(pt 704 -368)
	(pt 768 -368)
)
(connector
	(text "q" (rect 672 -216 680 -205)(font "Arial" ))
	(pt 664 -200)
	(pt 704 -200)
)
(connector
	(text "d" (rect 664 -280 672 -269)(font "Arial" ))
	(pt 656 -264)
	(pt 712 -264)
)
(connector
	(text "xti_mclk_a" (rect 704 -552 757 -541)(font "Arial" ))
	(pt 696 -536)
	(pt 744 -536)
)
(connector
	(text "xti_mclk_b" (rect 704 -536 757 -525)(font "Arial" ))
	(pt 696 -520)
	(pt 744 -520)
)