diff options
Diffstat (limited to 'FPGA/vhdl/dds_sinus.vhd')
-rw-r--r-- | FPGA/vhdl/dds_sinus.vhd | 4 |
1 files changed, 2 insertions, 2 deletions
diff --git a/FPGA/vhdl/dds_sinus.vhd b/FPGA/vhdl/dds_sinus.vhd index d47f290..1de67d8 100644 --- a/FPGA/vhdl/dds_sinus.vhd +++ b/FPGA/vhdl/dds_sinus.vhd | |||
@@ -37,14 +37,14 @@ entity dds_sinus is | |||
37 | port ( | 37 | port ( |
38 | resetn : in std_logic; | 38 | resetn : in std_logic; |
39 | clk : in std_logic; | 39 | clk : in std_logic; |
40 | en : in std_logic; | 40 | en : in std_logic; |
41 | incr_step : in std_logic_vector(M-1 downto 0); | ||
42 | dds_out : out std_logic_vector(N_data-1 downto 0) | 41 | dds_out : out std_logic_vector(N_data-1 downto 0) |
43 | ); | 42 | ); |
44 | end dds_sinus; | 43 | end dds_sinus; |
45 | 44 | ||
46 | architecture RTL of dds_sinus is | 45 | architecture RTL of dds_sinus is |
47 | constant amplitude : positive :=2**(N_data-1)-1; | 46 | constant amplitude : positive :=2**(N_data-1)-1; |
47 | constant incr_step : std_logic_vector(M-1 downto 0):= (0=>'1', others => '0'); | ||
48 | constant N_adr_ROM : positive := M-2; | 48 | constant N_adr_ROM : positive := M-2; |
49 | constant middle : unsigned (N_data-1 downto 0) := to_unsigned(2**(dds_out'length-1),dds_out'length); --(N_data-1=>'1', others => '0'); | 49 | constant middle : unsigned (N_data-1 downto 0) := to_unsigned(2**(dds_out'length-1),dds_out'length); --(N_data-1=>'1', others => '0'); |
50 | 50 | ||